OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32)
2
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
[![issues](https://img.shields.io/github/issues/stnolting/neorv32)](https://github.com/stnolting/neorv32/issues)
8
[![pull requests](https://img.shields.io/github/issues-pr/stnolting/neorv32)](https://github.com/stnolting/neorv32/pulls)
9
[![last commit](https://img.shields.io/github/last-commit/stnolting/neorv32)](https://github.com/stnolting/neorv32/commits/master)
10
 
11
 
12
 
13
## Table of Content
14
 
15
* [Introduction](#Introduction)
16
* [Features](#Features)
17
* [FPGA Implementation Results](#FPGA-Implementation-Results)
18
* [Performance](#Performance)
19
* [Top Entity](#Top-Entity)
20
* [**Getting Started**](#Getting-Started)
21
* [Contact](#Contact)
22
* [Legal](#Legal)
23
 
24
 
25
 
26
## Introduction
27
 
28
The NEORV32 is a customizable mikrocontroller-like processor system based on a RISC-V `rv32i` or `rv32e` CPU with optional
29
`M`, `C` and `Zicsr` extensions. The CPU was built from scratch and is compliant to the **Unprivileged
30 3 zero_gravi
ISA Specification Version 2.1** and a subset of the **Privileged Architecture Specification Version 1.12**. The NEORV32 is intended
31 2 zero_gravi
as auxiliary processor within a larger SoC designs or as stand-alone custom microcontroller.
32
 
33
The processor provides common peripherals and interfaces like input and output ports, serial interfaces for UART, I²C and SPI,
34 4 zero_gravi
interrupt controller, timers and embedded memories. External memories, peripherals and custom IP can be attached via a
35 2 zero_gravi
Wishbone-based external memory interface. All optional features beyond the base CPU can be enabled configured via VHDL generics.
36
 
37
This project comes with a complete software ecosystem that features core libraries for high-level usage of the
38
provided functions and peripherals, application makefiles and example programs. All software source files
39
provide a doxygen-based documentary.
40
 
41
The project is intended to work "out of the box". Just synthesize the test setup from this project, upload
42 4 zero_gravi
it to your FPGA board of choice and start playing with the NEORV32. If you do not want to [compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain)
43 2 zero_gravi
by yourself, you can also download [pre-compiled toolchain](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
44
 
45
For more information take a look a the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
46
 
47
 
48
### Design Principles
49
 
50
 * From zero to main(): Completely open source and documented.
51
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
52
 * Easy to use – working out of the box.
53
 * Clean synchronous design, no wacky combinatorial interfaces.
54
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
55
 
56
 
57
### Status
58 3 zero_gravi
 
59 6 zero_gravi
The processor is synthesizable (tested with Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/LSE) and can successfully execute
60
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the CoreMark benchmark.
61 2 zero_gravi
 
62 6 zero_gravi
The processor passes the `rv32i`, `rv32im`, `rv32imc` and `rv32Zicsr` *RISC-V compliance tests*.
63 2 zero_gravi
 
64 6 zero_gravi
[RISC-V compliance test](https://github.com/stnolting/neorv32_compliance_test):
65
[![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance)
66
 
67
 
68 2 zero_gravi
## Features
69
 
70
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_overview.png)
71
 
72
### Processor Features
73
 
74
  - RISC-V-compliant `rv32i` or `rv32e` CPU with optional `C`, `E`, `M` and `Zicsr` extensions
75
  - GCC-based toolchain ([pre-compiled rv32i and rv32 etoolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
76 3 zero_gravi
  - Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
77
  - [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework
78 2 zero_gravi
  - Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
79
  - Fully synchronous design, no latches, no gated clocks
80
  - Small hardware footprint and high operating frequency
81 6 zero_gravi
  - Customizable processor configuration
82 2 zero_gravi
  - Optional processor-internal data and instruction memories (DMEM/IMEM)
83
  - Optional internal bootloader with UART console and automatic SPI flash boot option
84
  - Optional machine system timer (MTIME), RISC-V-compliant
85
  - Optional universal asynchronous receiver and transmitter (UART)
86
  - Optional 8/16/24/32-bit serial peripheral interface master (SPI) with 8 dedicated chip select lines
87
  - Optional two wire serial interface master (TWI), compatible to the I²C standard
88
  - Optional general purpose parallel IO port (GPIO), 16xOut & 16xIn, with pin-change interrupt
89
  - Optional 32-bit external bus interface, Wishbone b4 compliant (WISHBONE)
90
  - Optional watchdog timer (WDT)
91
  - Optional PWM controller with 4 channels and 8-bit duty cycle resolution (PWM)
92
  - Optional GARO-based true random number generator (TRNG)
93
  - Optional core-local interrupt controller with 8 channels (CLIC)
94 3 zero_gravi
  - Optional dummy device (DEVNULL) (can be used for *fast* simulation console output)
95 2 zero_gravi
 
96
 
97
### CPU Features
98
 
99
The CPU is compliant to the [official RISC-V specifications](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
100
[RISC-V privileged architecture specifications](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
101
 
102 3 zero_gravi
**RV32I base instruction set** (`I` extension):
103 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
104
  * Branches instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
105
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
106
 
107 3 zero_gravi
**Compressed instructions** (`C` extension):
108 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
109
  * Branches instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
110
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
111
  * Misc instructions: `C.EBREAK` (only with `Zicsr` extension)
112
 
113 3 zero_gravi
**Embedded CPU version** (`E` extension):
114 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
115
  * No performance counter CSRs
116
 
117 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
118 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
119
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
120
 
121 3 zero_gravi
**Privileged architecture** (`Zicsr` extension):
122 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
123
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
124
  * System instructions: `ECALL` `EBREAK` `MRET` `WFI`
125
  * Counter CSRs: `cycle` `cycleh` `time` `timeh` `instret` `instreth` `mcycle` `mcycleh` `minstret` `minstreth`
126
  * Machine CSRs: `mstatus` `misa` `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mtinst` `mimpid` `mhartid`
127
  * Custom CSRs: `mfeatures` `mclock` `mispacebase` `mdspacebase` `mispacesize` `mdspacesize`
128
  * Supported exceptions and interrupts:
129
    * Misaligned instruction address
130
    * Instruction access fault
131
    * Illegal instruction
132 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
133 2 zero_gravi
    * Load address misaligned
134
    * Load access fault
135 4 zero_gravi
    * Store address misaligned
136 2 zero_gravi
    * Store access fault
137 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
138 2 zero_gravi
    * Machine software instrrupt
139 4 zero_gravi
    * Machine timer interrupt (via `MTIME` unit)
140
    * Machine external interrupt (via `CLIC` unit)
141 2 zero_gravi
 
142 3 zero_gravi
**General**:
143 6 zero_gravi
  * No hardware support of unaligned accesses - they will trigger and exception
144
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle execution
145 2 zero_gravi
 
146
More information including a detailed list of the available CSRs can be found in
147
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
148
 
149
 
150
### To-Do / Wish List
151
 
152 6 zero_gravi
- No exception is triggered in `E`-mode when using reg >x15 yet
153 2 zero_gravi
- Port Dhrystone benchmark
154 6 zero_gravi
- Implement atomic operations (`A` extension)
155
- Implement `Zifence` extension
156
- Implement co-processor for single-precision floating-point operations (`F` extension)
157 2 zero_gravi
- Implement user mode (`U` extension)
158
- Make a 64-bit branch
159
- Maybe port an RTOS (like [freeRTOS](https://www.freertos.org/) or [RIOT](https://www.riot-os.org/))
160
 
161
 
162
 
163
## FPGA Implementation Results
164
 
165
This chapter shows exemplary implementation results of the NEORV32 processor for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
166
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
167 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
168 2 zero_gravi
of the processor's generics is assumed. No constraints were used.
169
 
170 6 zero_gravi
Results generated for hardware version: `1.0.0.0`
171 2 zero_gravi
 
172
### CPU
173
 
174
| CPU Configuration   | LEs        | FFs      | Memory bits | DSPs   | f_max   |
175
|:--------------------|:----------:|:--------:|:-----------:|:------:|:-------:|
176 6 zero_gravi
| `rv32i`             |       1027 |      474 |       2048  | 0 (0%) | 111 MHz |
177
| `rv32i` + `Zicsr`   |       1721 |      868 |       2048  | 0 (0%) | 104 MHz |
178
| `rv32im` + `Zicsr`  |       2298 |     1115 |       2048  | 0 (0%) | 103 MHz |
179
| `rv32imc` + `Zicsr` |       2557 |     1138 |       2048  | 0 (0%) | 103 MHz |
180
| `rv32emc` + `Zicsr` |       2342 |     1005 |       1024  | 0 (0%) | 100 MHz |
181 2 zero_gravi
 
182 6 zero_gravi
### Processor-Internal Peripherals and Memories
183 2 zero_gravi
 
184
| Module   | Description                                     | LEs | FFs | Memory bits | DSPs |
185
|:---------|:------------------------------------------------|:---:|:---:|:-----------:|:----:|
186 4 zero_gravi
| BOOT ROM | Bootloader ROM (4kB)                            |   3 |   1 |      32 768 |    0 |
187 6 zero_gravi
| DEVNULL  | Dummy device                                    |   3 |   1 |           0 |    0 |
188 2 zero_gravi
| DMEM     | Processor-internal data memory (8kB)            |  12 |   2 |      65 536 |    0 |
189 6 zero_gravi
| GPIO     | General purpose input/output ports              |  38 |  33 |           0 |    0 |
190 2 zero_gravi
| IMEM     | Processor-internal instruction memory (16kb)    |   7 |   2 |     131 072 |    0 |
191 6 zero_gravi
| MTIME    | Machine system timer                            | 270 | 167 |           0 |    0 |
192
| PWM      | Pulse-width modulation controller               |  76 |  69 |           0 |    0 |
193
| SPI      | Serial peripheral interface                     | 206 | 125 |           0 |    0 |
194
| TRNG     | True random number generator                    | 104 |  93 |           0 |    0 |
195
| TWI      | Two-wire interface                              |  78 |  44 |           0 |    0 |
196
| UART     | Universal asynchronous receiver/transmitter     | 151 | 108 |           0 |    0 |
197 2 zero_gravi
| WDT      | Watchdog timer                                  |  57 |  45 |           0 |    0 |
198
 
199 6 zero_gravi
### CPU + Peripheral
200 2 zero_gravi
 
201 6 zero_gravi
The following table shows the implementation results for an _Intel Cyclone IV EP4CE22F17C6N_ FPGA.
202
The design was synthesized using Intel Quartus Prime Lite 19.1 (“balanced implementation”).
203
IMEM uses 16kB and DMEM uses 8kB memory space.
204
 
205
| CPU Configuration   | LEs        | REGs      | DSPs   | Memory Bits  | f_max   |
206
|:--------------------|:----------:|:---------:|:------:|:------------:|:-------:|
207
| `rv32imc` + `Zicsr` | 3724 (17%) | 1899 (9%) | 0 (0%) | 231424 (38%) | 103 MHz |
208
 
209
 
210 2 zero_gravi
### Lattice iCE40 UltraPlus 5k
211
 
212
The following table shows the hardware utilization for a [iCE40 UP5K](http://www.latticesemi.com/en/Products/FPGAandCPLD/iCE40UltraPlus) FPGA.
213
The setup uses all provided peripherals, all CPU extensions (except for the `E` extension), no external memory interface and internal
214 4 zero_gravi
instruction and data memories (each 64kB) based on SPRAM primitives. The FPGA-specific memory components can be found in the
215 2 zero_gravi
[`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up) folder.
216
 
217 4 zero_gravi
Place & route reports generated with **Lattice Radiant 2.1** using Lattice LSE. The clock frequency
218
is constrained and generated via the PLL from the internal HF oscillator running at 12 MHz.
219 2 zero_gravi
 
220 6 zero_gravi
| CPU Configuration   | LUTs       | REGs       | DSPs   | SPRAM    | EBR      | f         |
221
|:--------------------|:----------:|:----------:|:------:|:--------:|:--------:|:---------:|
222
| `rv32imc` + `Zicsr` | 4985 (94%) | 1982 (38%) | 0 (0%) | 4 (100%) | 12 (40%) | 20.25 MHz |
223 4 zero_gravi
 
224 2 zero_gravi
 
225
## Performance
226
 
227
### CoreMark Benchmark
228
 
229
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
230
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
231
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
232
 
233 6 zero_gravi
Results generated for hardware version: `1.0.0.0`
234 2 zero_gravi
 
235
~~~
236
**Configuration**
237
Hardware:         32kB IMEM, 16kb DMEM, 100MHz clock
238
CoreMark:         2000 iterations, MEM_METHOD is MEM_STACK
239
CPU extensions:   `rv32i` or `rv32im` or `rv32imc`
240
Used peripherals: MTIME for time measurement, UART for printing the results
241
~~~
242
 
243
| __Configuration__ | __Optimization__ | __Executable Size__ | __CoreMark Score__ | __CoreMarks/MHz__ |
244
|:------------------|:----------------:|:-------------------:|:------------------:|:-----------------:|
245 6 zero_gravi
| `rv32i`           |      `-Os`       |     18 044 bytes    |       21.98        |       0.21        |
246
| `rv32i`           |      `-O2`       |     20 388 bytes    |        25          |       0.25        |
247
| `rv32im`          |      `-Os`       |     16 980 bytes    |        40          |       0.40        |
248
| `rv32im`          |      `-O2`       |     19 436 bytes    |       51.28        |       0.51        |
249
| `rv32imc`         |      `-Os`       |     13 076 bytes    |       39.22        |       0.39        |
250
| `rv32imc`         |      `-O2`       |     15 208 bytes    |        50          |       0.50        |
251 2 zero_gravi
 
252
 
253
### Instruction Cycles
254
 
255
The NEORV32 CPU is based on a multi-cycle architecture. Each instruction is executed in a sequence of several
256
consecutive micro operations. Hence, each instruction requires several clock cycles to execute. The average CPI
257
(cycles per instruction) depends on the instruction mix of a specific applications and also on the available
258
CPU extensions.
259
 
260
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
261
`M` extension use a bit-serial approach and require several cycles for completion.
262
 
263 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
264 2 zero_gravi
iterations. The average CPI is computed by dividing the total number of required clock cycles (all of CoreMark
265
– not only the timed core) by the number of executed instructions (`instret[h]` CSRs). The executables
266
were generated using optimization `-O2`.
267
 
268
| CPU / Toolchain Config. | Required Clock Cycles | Executed Instructions | Average CPI |
269
|:------------------------|----------------------:|----------------------:|:-----------:|
270 6 zero_gravi
| `rv32i`                 |        19 355 607 369 |         2 995 064 579 |     6.5     |
271
| `rv32im`                |         5 809 384 583 |           867 377 291 |     6.7     |
272
| `rv32imc`               |         5 560 220 723 |           825 898 407 |     6.7     |
273 2 zero_gravi
 
274
 
275
 
276
## Top Entity
277
 
278
The top entity of the processor is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
279
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
280
(except for the TWI signals, which are of type *std_logic*).
281
 
282
Use the generics to configure the processor according to your needs. Each generics is initilized with the default configuration.
283
Detailed information regarding the signals and configuration generics can be found in the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
284
 
285
```vhdl
286
entity neorv32_top is
287
  generic (
288
    -- General --
289
    CLOCK_FREQUENCY           : natural := 0;       -- clock frequency of clk_i in Hz
290
    HART_ID                   : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom hardware thread ID
291
    BOOTLOADER_USE            : boolean := true;    -- implement processor-internal bootloader?
292 6 zero_gravi
    CSR_COUNTERS_USE          : boolean := true;   -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
293 2 zero_gravi
    -- RISC-V CPU Extensions --
294
    CPU_EXTENSION_RISCV_C     : boolean := false;   -- implement compressed extension?
295
    CPU_EXTENSION_RISCV_E     : boolean := false;   -- implement embedded RF extension?
296
    CPU_EXTENSION_RISCV_M     : boolean := false;   -- implement muld/div extension?
297
    CPU_EXTENSION_RISCV_Zicsr : boolean := true;    -- implement CSR system?
298
    -- Memory configuration: Instruction memory --
299
    MEM_ISPACE_BASE           : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
300
    MEM_ISPACE_SIZE           : natural := 16*1024; -- total size of instruction memory space in byte
301
    MEM_INT_IMEM_USE          : boolean := true;    -- implement processor-internal instruction memory
302
    MEM_INT_IMEM_SIZE         : natural := 16*1024; -- size of processor-internal instruction memory in bytes
303
    MEM_INT_IMEM_ROM          : boolean := false;   -- implement processor-internal instruction memory as ROM
304
    -- Memory configuration: Data memory --
305
    MEM_DSPACE_BASE           : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
306
    MEM_DSPACE_SIZE           : natural := 8*1024;  -- total size of data memory space in byte
307
    MEM_INT_DMEM_USE          : boolean := true;    -- implement processor-internal data memory
308
    MEM_INT_DMEM_SIZE         : natural := 8*1024;  -- size of processor-internal data memory in bytes
309
    -- Memory configuration: External memory interface --
310
    MEM_EXT_USE               : boolean := false;   -- implement external memory bus interface?
311
    MEM_EXT_REG_STAGES        : natural := 2;       -- number of interface register stages (0,1,2)
312
    MEM_EXT_TIMEOUT           : natural := 15;      -- cycles after which a valid bus access will timeout (>=1)
313
    -- Processor peripherals --
314
    IO_GPIO_USE               : boolean := true;    -- implement general purpose input/output port unit (GPIO)?
315
    IO_MTIME_USE              : boolean := true;    -- implement machine system timer (MTIME)?
316
    IO_UART_USE               : boolean := true;    -- implement universal asynchronous receiver/transmitter (UART)?
317
    IO_SPI_USE                : boolean := true;    -- implement serial peripheral interface (SPI)?
318
    IO_TWI_USE                : boolean := true;    -- implement two-wire interface (TWI)?
319
    IO_PWM_USE                : boolean := true;    -- implement pulse-width modulation unit (PWM)?
320
    IO_WDT_USE                : boolean := true;    -- implement watch dog timer (WDT)?
321
    IO_CLIC_USE               : boolean := true;    -- implement core local interrupt controller (CLIC)?
322 3 zero_gravi
    IO_TRNG_USE               : boolean := false;   -- implement true random number generator (TRNG)?
323
    IO_DEVNULL_USE            : boolean := true     -- implement dummy device (DEVNULL)?
324 2 zero_gravi
  );
325
  port (
326
    -- Global control --
327
    clk_i        : in  std_ulogic := '0'; -- global clock, rising edge
328
    rstn_i       : in  std_ulogic := '0'; -- global reset, low-active, async
329
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
330
    wb_adr_o     : out std_ulogic_vector(31 downto 0); -- address
331
    wb_dat_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
332
    wb_dat_o     : out std_ulogic_vector(31 downto 0); -- write data
333
    wb_we_o      : out std_ulogic; -- read/write
334
    wb_sel_o     : out std_ulogic_vector(03 downto 0); -- byte enable
335
    wb_stb_o     : out std_ulogic; -- strobe
336
    wb_cyc_o     : out std_ulogic; -- valid cycle
337
    wb_ack_i     : in  std_ulogic := '0'; -- transfer acknowledge
338
    wb_err_i     : in  std_ulogic := '0'; -- transfer error
339
    -- GPIO (available if IO_GPIO_USE = true) --
340
    gpio_o       : out std_ulogic_vector(15 downto 0); -- parallel output
341
    gpio_i       : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
342
    -- UART (available if IO_UART_USE = true) --
343
    uart_txd_o   : out std_ulogic; -- UART send data
344
    uart_rxd_i   : in  std_ulogic := '0'; -- UART receive data
345
    -- SPI (available if IO_SPI_USE = true) --
346 6 zero_gravi
    spi_sck_o    : out std_ulogic; -- serial clock line
347
    spi_sdo_o    : out std_ulogic; -- serial data line out
348
    spi_sdi_i    : in  std_ulogic := '0'; -- serial data line in
349 2 zero_gravi
    spi_csn_o    : out std_ulogic_vector(07 downto 0); -- SPI CS
350
    -- TWI (available if IO_TWI_USE = true) --
351
    twi_sda_io   : inout std_logic := 'H'; -- twi serial data line
352
    twi_scl_io   : inout std_logic := 'H'; -- twi serial clock line
353
    -- PWM (available if IO_PWM_USE = true) --
354
    pwm_o        : out std_ulogic_vector(03 downto 0); -- pwm channels
355
    -- Interrupts (available if IO_CLIC_USE = true) --
356
    ext_irq_i    : in  std_ulogic_vector(01 downto 0) := (others => '0'); -- external interrupt request
357
    ext_ack_o    : out std_ulogic_vector(01 downto 0)  -- external interrupt request acknowledge
358
  );
359
end neorv32_top;
360
```
361
 
362
 
363
 
364
## Getting Started
365
 
366
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
367
 
368
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
369
 
370
 
371
### Building the Toolchain
372
 
373
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
374
and build the toolchain by yourself, or you can download a prebuilt one and install it.
375
 
376
To build the toolchain by yourself, get the sources from the official [RISCV-GNU-TOOLCHAIN](https://github.com/riscv/riscv-gnu-toolchain) github page:
377
 
378
    $ git clone --recursive https://github.com/riscv/riscv-gnu-toolchain
379
 
380
Download and install the prerequisite standard packages:
381
 
382
    $ sudo apt-get install autoconf automake autotools-dev curl python3 libmpc-dev libmpfr-dev libgmp-dev gawk build-essential bison flex texinfo gperf libtool patchutils bc zlib1g-dev libexpat-dev
383
 
384
To build the Linux cross-compiler, pick an install path. If you choose, say, `/opt/riscv`, then add `/opt/riscv/bin` to your `PATH` environment variable.
385
 
386
    $ export PATH:$PATH:/opt/riscv/bin
387
 
388
Then, simply run the following commands in the RISC-V GNU toolchain source folder (for the `rv32i` toolchain):
389
 
390
    riscv-gnu-toolchain$ ./configure --prefix=/opt/riscv --with-arch=rv32i –with-abi=ilp32
391
    riscv-gnu-toolchain$ make
392
 
393
After a while (hours!) you will get `riscv32-unknown-elf-gcc` and all of its friends in your `/opt/riscv/bin` folder.
394
 
395
 
396
### Using a Prebuilt Toolchain
397
 
398
Alternatively, you can download a prebuilt toolchain. I have uploaded the toolchain I am using to GitHub. This toolchain
399
has been compiled on a 64-bit x86 Ubuntu (Ubuntu on Windows). Download the toolchain of choice:
400
 
401
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
402
 
403
 
404
### Dowload the Project and Create a Hardware Project
405
 
406
Now its time to get the most recent version the NEORV32 Processor project from GitHub. Clone the NEORV32 repository using
407
`git` from the command line (suggested for easy project updates via `git pull`):
408
 
409
    $ git clone https://github.com/stnolting/neorv32.git
410
 
411 6 zero_gravi
Create a new HW project with your FPGA design tool of choice. Add all files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
412 2 zero_gravi
folder to this project and add them to a **new library** called `neorv32`.
413
 
414
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in you own project, or you
415
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity. This test
416
setup instantiates the processor, implements most of the peripherals and the basic ISA. Only the UART, clock, reset and some GPIO output sginals are
417
propagated:
418
 
419
```vhdl
420
entity neorv32_test_setup is
421
  port (
422
    -- Global control --
423
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
424
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
425
    -- GPIO --
426
    gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
427
    -- UART --
428
    uart_txd_o : out std_ulogic; -- UART send data
429
    uart_rxd_i : in  std_ulogic := '0' -- UART receive data
430
  );
431
end neorv32_test_setup;
432
```
433
 
434
This test setup is intended as quick and easy "hello world" test setup to get into the NEORV32.
435
 
436
 
437
### Compiling and Uploading One of the Example Projects
438
 
439
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain, navigate to an example project like
440
`sw/example/blink_led` and run:
441
 
442
    neorv32/sw/example/blink_led$ make check
443
 
444
The NEORV32 project includes some example programs from which you can start your own application:
445
[SW example projects](https://github.com/stnolting/neorv32/tree/master/sw/example)
446
 
447
Simply compile one of these projects. This will create a NEORV32 executable `neorv32_exe.bin` in the same folder.
448
 
449
    neorv32/sw/example/blink_led$ make clean_all compile
450
 
451
Connect your FPGA board via UART to you computer and open the according port to interface with the NEORV32 bootloader. The bootloader
452
uses the following default UART configuration:
453
 
454
- 19200 Baud
455
- 8 data bits
456
- 1 stop bit
457
- No parity bits
458
- No transmission / flow control protocol (raw bytes only)
459
- Newline on `\r\n` (carriage return & newline)
460
 
461
Use the bootloader console to upload and execute your application image.
462
 
463
Going further: Take a look at the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
464
 
465
 
466
 
467
## Contact
468
 
469 3 zero_gravi
If you have any questions, bug reports, ideas or if you are facing problems with the NEORV32 or want to give some kind of feedback, open a
470 2 zero_gravi
[new issue](https://github.com/stnolting/neorv32/issues) or directly drop me a line:
471
 
472
  stnolting@gmail.com
473
 
474
 
475
 
476
## Citation
477
 
478
If you are using the NEORV32 Processor in some kind of publication, please cite it as follows:
479
 
480
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
481
 
482
 
483
 
484
## Legal
485
 
486
This is a hobby project released under the BSD 3-Clause license. No copyright infringement intended.
487 6 zero_gravi
Other implied/used projects might have different licensing - see their documentation to get more information.
488 2 zero_gravi
 
489
**BSD 3-Clause License**
490
 
491
Copyright (c) 2020, Stephan Nolting. All rights reserved.
492
 
493
Redistribution and use in source and binary forms, with or without modification, are
494
permitted provided that the following conditions are met:
495
 
496
1. Redistributions of source code must retain the above copyright notice, this list of
497
conditions and the following disclaimer.
498
2. Redistributions in binary form must reproduce the above copyright notice, this list of
499
conditions and the following disclaimer in the documentation and/or other materials
500
provided with the distribution.
501
3. Neither the name of the copyright holder nor the names of its contributors may be used to
502
endorse or promote products derived from this software without specific prior written
503
permission.
504
 
505
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
506
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
507
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
508
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
509
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
510
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
511
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
512
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
513
OF THE POSSIBILITY OF SUCH DAMAGE.
514
 
515
 
516
"Windows" is a trademark of Microsoft Corporation.
517
 
518
"Artix" and "Vivado" are trademarks of Xilinx Inc.
519
 
520
"Cyclone", "Quartus Prime" and "Avalon Bus" are trademarks of Intel Corporation.
521
 
522
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
523
 
524
"AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
525
 
526
 
527
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
528
 
529
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
530
 
531
 
532
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
533
 
534
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
535
 
536
 
537 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.