OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32)
2
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
[![issues](https://img.shields.io/github/issues/stnolting/neorv32)](https://github.com/stnolting/neorv32/issues)
8
[![pull requests](https://img.shields.io/github/issues-pr/stnolting/neorv32)](https://github.com/stnolting/neorv32/pulls)
9
[![last commit](https://img.shields.io/github/last-commit/stnolting/neorv32)](https://github.com/stnolting/neorv32/commits/master)
10
 
11
 
12
 
13
## Table of Content
14
 
15
* [Introduction](#Introduction)
16
* [Features](#Features)
17
* [FPGA Implementation Results](#FPGA-Implementation-Results)
18
* [Performance](#Performance)
19
* [Top Entity](#Top-Entity)
20
* [**Getting Started**](#Getting-Started)
21
* [Contact](#Contact)
22
* [Legal](#Legal)
23
 
24
 
25
 
26
## Introduction
27
 
28
The NEORV32 is a customizable mikrocontroller-like processor system based on a RISC-V `rv32i` or `rv32e` CPU with optional
29 8 zero_gravi
`M`, `C`, `Zicsr` and `Zifencei` extensions. The CPU was built from scratch and is compliant to the **Unprivileged
30 3 zero_gravi
ISA Specification Version 2.1** and a subset of the **Privileged Architecture Specification Version 1.12**. The NEORV32 is intended
31 2 zero_gravi
as auxiliary processor within a larger SoC designs or as stand-alone custom microcontroller.
32
 
33
The processor provides common peripherals and interfaces like input and output ports, serial interfaces for UART, I²C and SPI,
34 4 zero_gravi
interrupt controller, timers and embedded memories. External memories, peripherals and custom IP can be attached via a
35 2 zero_gravi
Wishbone-based external memory interface. All optional features beyond the base CPU can be enabled configured via VHDL generics.
36
 
37
This project comes with a complete software ecosystem that features core libraries for high-level usage of the
38
provided functions and peripherals, application makefiles and example programs. All software source files
39
provide a doxygen-based documentary.
40
 
41
The project is intended to work "out of the box". Just synthesize the test setup from this project, upload
42 4 zero_gravi
it to your FPGA board of choice and start playing with the NEORV32. If you do not want to [compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain)
43 2 zero_gravi
by yourself, you can also download [pre-compiled toolchain](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
44
 
45
For more information take a look a the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
46
 
47
 
48
### Design Principles
49
 
50
 * From zero to main(): Completely open source and documented.
51
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
52
 * Easy to use – working out of the box.
53
 * Clean synchronous design, no wacky combinatorial interfaces.
54
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
55
 
56
 
57
### Status
58 3 zero_gravi
 
59 6 zero_gravi
The processor is synthesizable (tested with Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/LSE) and can successfully execute
60
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the CoreMark benchmark.
61 2 zero_gravi
 
62 8 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
63 2 zero_gravi
 
64 7 zero_gravi
|                                                                                 |        |
65
|:--------------------------------------------------------------------------------|:-------|
66
| [Pre-build toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Test](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) |
67
| [RISC-V compliance test](https://github.com/stnolting/neorv32_compliance_test)  | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) |
68 6 zero_gravi
 
69
 
70 7 zero_gravi
#### Limitations to be fixed
71
 
72
* No exception is triggered in `E`-mode when using registers above `x15` yet
73
 
74
 
75
#### To-Do / Wish List
76
 
77
- Port Dhrystone benchmark
78
- Implement atomic operations (`A` extension)
79
- Implement `Zifence` extension
80
- Implement co-processor for single-precision floating-point operations (`F` extension)
81
- Implement user mode (`U` extension)
82
- Make a 64-bit branch
83
- Maybe port an RTOS (like [freeRTOS](https://www.freertos.org/) or [RIOT](https://www.riot-os.org/))
84
 
85
 
86
 
87 2 zero_gravi
## Features
88
 
89
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_overview.png)
90
 
91
### Processor Features
92
 
93 8 zero_gravi
  - RISC-V-compliant `rv32i` or `rv32e` CPU with optional `C`, `E`, `M`, `Zicsr` and `rv32Zifencei` extensions
94 2 zero_gravi
  - GCC-based toolchain ([pre-compiled rv32i and rv32 etoolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
95 3 zero_gravi
  - Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
96
  - [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework
97 2 zero_gravi
  - Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
98
  - Fully synchronous design, no latches, no gated clocks
99
  - Small hardware footprint and high operating frequency
100 7 zero_gravi
  - Highly customizable processor configuration
101 2 zero_gravi
  - Optional processor-internal data and instruction memories (DMEM/IMEM)
102 7 zero_gravi
  - _Optional_ internal bootloader with UART console and automatic SPI flash boot option
103
  - _Optional_ machine system timer (MTIME), RISC-V-compliant
104
  - _Optional_ universal asynchronous receiver and transmitter (UART)
105
  - _Optional_ 8/16/24/32-bit serial peripheral interface controller (SPI) with 8 dedicated chip select lines
106
  - _Optional_ two wire serial interface controller (TWI), compatible to the I²C standard
107
  - _Optional_ general purpose parallel IO port (GPIO), 16xOut & 16xIn, with pin-change interrupt
108
  - _Optional_ 32-bit external bus interface, Wishbone b4 compliant (WISHBONE)
109
  - _Optional_ watchdog timer (WDT)
110
  - _Optional_ PWM controller with 4 channels and 8-bit duty cycle resolution (PWM)
111
  - _Optional_ GARO-based true random number generator (TRNG)
112
  - _Optional_ core-local interrupt controller with 8 channels (CLIC)
113
  - _Optional_ dummy device (DEVNULL) (can be used for *fast* simulation console output)
114 2 zero_gravi
 
115
 
116
### CPU Features
117
 
118
The CPU is compliant to the [official RISC-V specifications](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
119
[RISC-V privileged architecture specifications](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
120
 
121 3 zero_gravi
**RV32I base instruction set** (`I` extension):
122 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
123 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
124 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
125 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
126 2 zero_gravi
 
127 3 zero_gravi
**Compressed instructions** (`C` extension):
128 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
129 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
130 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
131
  * Misc instructions: `C.EBREAK` (only with `Zicsr` extension)
132
 
133 3 zero_gravi
**Embedded CPU version** (`E` extension):
134 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
135
  * No performance counter CSRs
136
 
137 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
138 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
139
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
140
 
141 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
142 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
143
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
144 8 zero_gravi
  * System instructions: `MRET` `WFI`
145 2 zero_gravi
  * Counter CSRs: `cycle` `cycleh` `time` `timeh` `instret` `instreth` `mcycle` `mcycleh` `minstret` `minstreth`
146 7 zero_gravi
  * Machine CSRs: `mstatus` `misa` `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mimpid` `mhartid`
147 2 zero_gravi
  * Custom CSRs: `mfeatures` `mclock` `mispacebase` `mdspacebase` `mispacesize` `mdspacesize`
148
  * Supported exceptions and interrupts:
149
    * Misaligned instruction address
150
    * Instruction access fault
151
    * Illegal instruction
152 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
153 2 zero_gravi
    * Load address misaligned
154
    * Load access fault
155 4 zero_gravi
    * Store address misaligned
156 2 zero_gravi
    * Store access fault
157 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
158 2 zero_gravi
    * Machine software instrrupt
159 4 zero_gravi
    * Machine timer interrupt (via `MTIME` unit)
160
    * Machine external interrupt (via `CLIC` unit)
161 2 zero_gravi
 
162 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
163
  * System instructions: `FENCE.I`
164
 
165 3 zero_gravi
**General**:
166 6 zero_gravi
  * No hardware support of unaligned accesses - they will trigger and exception
167
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle execution
168 2 zero_gravi
 
169
More information including a detailed list of the available CSRs can be found in
170
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
171
 
172
 
173
 
174
## FPGA Implementation Results
175
 
176
This chapter shows exemplary implementation results of the NEORV32 processor for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
177
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
178 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
179 2 zero_gravi
of the processor's generics is assumed. No constraints were used.
180
 
181 6 zero_gravi
Results generated for hardware version: `1.0.0.0`
182 2 zero_gravi
 
183
### CPU
184
 
185
| CPU Configuration   | LEs        | FFs      | Memory bits | DSPs   | f_max   |
186
|:--------------------|:----------:|:--------:|:-----------:|:------:|:-------:|
187 6 zero_gravi
| `rv32i`             |       1027 |      474 |       2048  | 0 (0%) | 111 MHz |
188
| `rv32i` + `Zicsr`   |       1721 |      868 |       2048  | 0 (0%) | 104 MHz |
189
| `rv32im` + `Zicsr`  |       2298 |     1115 |       2048  | 0 (0%) | 103 MHz |
190
| `rv32imc` + `Zicsr` |       2557 |     1138 |       2048  | 0 (0%) | 103 MHz |
191
| `rv32emc` + `Zicsr` |       2342 |     1005 |       1024  | 0 (0%) | 100 MHz |
192 2 zero_gravi
 
193 6 zero_gravi
### Processor-Internal Peripherals and Memories
194 2 zero_gravi
 
195
| Module   | Description                                     | LEs | FFs | Memory bits | DSPs |
196
|:---------|:------------------------------------------------|:---:|:---:|:-----------:|:----:|
197 4 zero_gravi
| BOOT ROM | Bootloader ROM (4kB)                            |   3 |   1 |      32 768 |    0 |
198 6 zero_gravi
| DEVNULL  | Dummy device                                    |   3 |   1 |           0 |    0 |
199 2 zero_gravi
| DMEM     | Processor-internal data memory (8kB)            |  12 |   2 |      65 536 |    0 |
200 6 zero_gravi
| GPIO     | General purpose input/output ports              |  38 |  33 |           0 |    0 |
201 2 zero_gravi
| IMEM     | Processor-internal instruction memory (16kb)    |   7 |   2 |     131 072 |    0 |
202 6 zero_gravi
| MTIME    | Machine system timer                            | 270 | 167 |           0 |    0 |
203
| PWM      | Pulse-width modulation controller               |  76 |  69 |           0 |    0 |
204
| SPI      | Serial peripheral interface                     | 206 | 125 |           0 |    0 |
205
| TRNG     | True random number generator                    | 104 |  93 |           0 |    0 |
206
| TWI      | Two-wire interface                              |  78 |  44 |           0 |    0 |
207
| UART     | Universal asynchronous receiver/transmitter     | 151 | 108 |           0 |    0 |
208 2 zero_gravi
| WDT      | Watchdog timer                                  |  57 |  45 |           0 |    0 |
209
 
210 6 zero_gravi
### CPU + Peripheral
211 2 zero_gravi
 
212 6 zero_gravi
The following table shows the implementation results for an _Intel Cyclone IV EP4CE22F17C6N_ FPGA.
213
The design was synthesized using Intel Quartus Prime Lite 19.1 (“balanced implementation”).
214
IMEM uses 16kB and DMEM uses 8kB memory space.
215
 
216
| CPU Configuration   | LEs        | REGs      | DSPs   | Memory Bits  | f_max   |
217
|:--------------------|:----------:|:---------:|:------:|:------------:|:-------:|
218
| `rv32imc` + `Zicsr` | 3724 (17%) | 1899 (9%) | 0 (0%) | 231424 (38%) | 103 MHz |
219
 
220
 
221 2 zero_gravi
### Lattice iCE40 UltraPlus 5k
222
 
223
The following table shows the hardware utilization for a [iCE40 UP5K](http://www.latticesemi.com/en/Products/FPGAandCPLD/iCE40UltraPlus) FPGA.
224
The setup uses all provided peripherals, all CPU extensions (except for the `E` extension), no external memory interface and internal
225 4 zero_gravi
instruction and data memories (each 64kB) based on SPRAM primitives. The FPGA-specific memory components can be found in the
226 2 zero_gravi
[`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up) folder.
227
 
228 4 zero_gravi
Place & route reports generated with **Lattice Radiant 2.1** using Lattice LSE. The clock frequency
229
is constrained and generated via the PLL from the internal HF oscillator running at 12 MHz.
230 2 zero_gravi
 
231 6 zero_gravi
| CPU Configuration   | LUTs       | REGs       | DSPs   | SPRAM    | EBR      | f         |
232
|:--------------------|:----------:|:----------:|:------:|:--------:|:--------:|:---------:|
233
| `rv32imc` + `Zicsr` | 4985 (94%) | 1982 (38%) | 0 (0%) | 4 (100%) | 12 (40%) | 20.25 MHz |
234 4 zero_gravi
 
235 2 zero_gravi
 
236
## Performance
237
 
238
### CoreMark Benchmark
239
 
240
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
241
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
242
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
243
 
244 6 zero_gravi
Results generated for hardware version: `1.0.0.0`
245 2 zero_gravi
 
246
~~~
247
**Configuration**
248
Hardware:         32kB IMEM, 16kb DMEM, 100MHz clock
249
CoreMark:         2000 iterations, MEM_METHOD is MEM_STACK
250
CPU extensions:   `rv32i` or `rv32im` or `rv32imc`
251
Used peripherals: MTIME for time measurement, UART for printing the results
252
~~~
253
 
254
| __Configuration__ | __Optimization__ | __Executable Size__ | __CoreMark Score__ | __CoreMarks/MHz__ |
255
|:------------------|:----------------:|:-------------------:|:------------------:|:-----------------:|
256 6 zero_gravi
| `rv32i`           |      `-Os`       |     18 044 bytes    |       21.98        |       0.21        |
257
| `rv32i`           |      `-O2`       |     20 388 bytes    |        25          |       0.25        |
258
| `rv32im`          |      `-Os`       |     16 980 bytes    |        40          |       0.40        |
259
| `rv32im`          |      `-O2`       |     19 436 bytes    |       51.28        |       0.51        |
260
| `rv32imc`         |      `-Os`       |     13 076 bytes    |       39.22        |       0.39        |
261
| `rv32imc`         |      `-O2`       |     15 208 bytes    |        50          |       0.50        |
262 2 zero_gravi
 
263
 
264
### Instruction Cycles
265
 
266
The NEORV32 CPU is based on a multi-cycle architecture. Each instruction is executed in a sequence of several
267
consecutive micro operations. Hence, each instruction requires several clock cycles to execute. The average CPI
268
(cycles per instruction) depends on the instruction mix of a specific applications and also on the available
269
CPU extensions.
270
 
271
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
272
`M` extension use a bit-serial approach and require several cycles for completion.
273
 
274 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
275 2 zero_gravi
iterations. The average CPI is computed by dividing the total number of required clock cycles (all of CoreMark
276
– not only the timed core) by the number of executed instructions (`instret[h]` CSRs). The executables
277
were generated using optimization `-O2`.
278
 
279
| CPU / Toolchain Config. | Required Clock Cycles | Executed Instructions | Average CPI |
280
|:------------------------|----------------------:|----------------------:|:-----------:|
281 6 zero_gravi
| `rv32i`                 |        19 355 607 369 |         2 995 064 579 |     6.5     |
282
| `rv32im`                |         5 809 384 583 |           867 377 291 |     6.7     |
283
| `rv32imc`               |         5 560 220 723 |           825 898 407 |     6.7     |
284 2 zero_gravi
 
285
 
286
 
287
## Top Entity
288
 
289
The top entity of the processor is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
290
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
291
(except for the TWI signals, which are of type *std_logic*).
292
 
293
Use the generics to configure the processor according to your needs. Each generics is initilized with the default configuration.
294
Detailed information regarding the signals and configuration generics can be found in the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
295
 
296
```vhdl
297
entity neorv32_top is
298
  generic (
299
    -- General --
300 8 zero_gravi
    CLOCK_FREQUENCY              : natural := 0; -- clock frequency of clk_i in Hz
301
    HART_ID                      : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom hardware thread ID
302
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
303
    CSR_COUNTERS_USE             : boolean := true;   -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
304 2 zero_gravi
    -- RISC-V CPU Extensions --
305 8 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := true;   -- implement compressed extension?
306
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
307
    CPU_EXTENSION_RISCV_M        : boolean := true;   -- implement muld/div extension?
308
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
309
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
310 2 zero_gravi
    -- Memory configuration: Instruction memory --
311 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
312
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
313
    MEM_INT_IMEM_USE             : boolean := true;    -- implement processor-internal instruction memory
314
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
315
    MEM_INT_IMEM_ROM             : boolean := false;   -- implement processor-internal instruction memory as ROM
316 2 zero_gravi
    -- Memory configuration: Data memory --
317 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
318
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
319
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
320
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
321 2 zero_gravi
    -- Memory configuration: External memory interface --
322 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
323
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
324
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout (>=1)
325 2 zero_gravi
    -- Processor peripherals --
326 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
327
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
328
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
329
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
330
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
331
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
332
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
333
    IO_CLIC_USE                  : boolean := true;   -- implement core local interrupt controller (CLIC)?
334
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
335
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
336 2 zero_gravi
  );
337
  port (
338
    -- Global control --
339
    clk_i        : in  std_ulogic := '0'; -- global clock, rising edge
340
    rstn_i       : in  std_ulogic := '0'; -- global reset, low-active, async
341
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
342
    wb_adr_o     : out std_ulogic_vector(31 downto 0); -- address
343
    wb_dat_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
344
    wb_dat_o     : out std_ulogic_vector(31 downto 0); -- write data
345
    wb_we_o      : out std_ulogic; -- read/write
346
    wb_sel_o     : out std_ulogic_vector(03 downto 0); -- byte enable
347
    wb_stb_o     : out std_ulogic; -- strobe
348
    wb_cyc_o     : out std_ulogic; -- valid cycle
349
    wb_ack_i     : in  std_ulogic := '0'; -- transfer acknowledge
350
    wb_err_i     : in  std_ulogic := '0'; -- transfer error
351
    -- GPIO (available if IO_GPIO_USE = true) --
352
    gpio_o       : out std_ulogic_vector(15 downto 0); -- parallel output
353
    gpio_i       : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
354
    -- UART (available if IO_UART_USE = true) --
355
    uart_txd_o   : out std_ulogic; -- UART send data
356
    uart_rxd_i   : in  std_ulogic := '0'; -- UART receive data
357
    -- SPI (available if IO_SPI_USE = true) --
358 6 zero_gravi
    spi_sck_o    : out std_ulogic; -- serial clock line
359
    spi_sdo_o    : out std_ulogic; -- serial data line out
360
    spi_sdi_i    : in  std_ulogic := '0'; -- serial data line in
361 2 zero_gravi
    spi_csn_o    : out std_ulogic_vector(07 downto 0); -- SPI CS
362
    -- TWI (available if IO_TWI_USE = true) --
363
    twi_sda_io   : inout std_logic := 'H'; -- twi serial data line
364
    twi_scl_io   : inout std_logic := 'H'; -- twi serial clock line
365
    -- PWM (available if IO_PWM_USE = true) --
366
    pwm_o        : out std_ulogic_vector(03 downto 0); -- pwm channels
367
    -- Interrupts (available if IO_CLIC_USE = true) --
368
    ext_irq_i    : in  std_ulogic_vector(01 downto 0) := (others => '0'); -- external interrupt request
369
    ext_ack_o    : out std_ulogic_vector(01 downto 0)  -- external interrupt request acknowledge
370
  );
371
end neorv32_top;
372
```
373
 
374
 
375
 
376
## Getting Started
377
 
378
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
379
 
380
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
381
 
382
 
383
### Building the Toolchain
384
 
385
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
386
and build the toolchain by yourself, or you can download a prebuilt one and install it.
387
 
388
To build the toolchain by yourself, get the sources from the official [RISCV-GNU-TOOLCHAIN](https://github.com/riscv/riscv-gnu-toolchain) github page:
389
 
390
    $ git clone --recursive https://github.com/riscv/riscv-gnu-toolchain
391
 
392
Download and install the prerequisite standard packages:
393
 
394
    $ sudo apt-get install autoconf automake autotools-dev curl python3 libmpc-dev libmpfr-dev libgmp-dev gawk build-essential bison flex texinfo gperf libtool patchutils bc zlib1g-dev libexpat-dev
395
 
396
To build the Linux cross-compiler, pick an install path. If you choose, say, `/opt/riscv`, then add `/opt/riscv/bin` to your `PATH` environment variable.
397
 
398
    $ export PATH:$PATH:/opt/riscv/bin
399
 
400
Then, simply run the following commands in the RISC-V GNU toolchain source folder (for the `rv32i` toolchain):
401
 
402
    riscv-gnu-toolchain$ ./configure --prefix=/opt/riscv --with-arch=rv32i –with-abi=ilp32
403
    riscv-gnu-toolchain$ make
404
 
405
After a while (hours!) you will get `riscv32-unknown-elf-gcc` and all of its friends in your `/opt/riscv/bin` folder.
406
 
407
 
408
### Using a Prebuilt Toolchain
409
 
410
Alternatively, you can download a prebuilt toolchain. I have uploaded the toolchain I am using to GitHub. This toolchain
411
has been compiled on a 64-bit x86 Ubuntu (Ubuntu on Windows). Download the toolchain of choice:
412
 
413
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
414
 
415
 
416
### Dowload the Project and Create a Hardware Project
417
 
418
Now its time to get the most recent version the NEORV32 Processor project from GitHub. Clone the NEORV32 repository using
419
`git` from the command line (suggested for easy project updates via `git pull`):
420
 
421
    $ git clone https://github.com/stnolting/neorv32.git
422
 
423 6 zero_gravi
Create a new HW project with your FPGA design tool of choice. Add all files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
424 2 zero_gravi
folder to this project and add them to a **new library** called `neorv32`.
425
 
426
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in you own project, or you
427
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity. This test
428
setup instantiates the processor, implements most of the peripherals and the basic ISA. Only the UART, clock, reset and some GPIO output sginals are
429
propagated:
430
 
431
```vhdl
432
entity neorv32_test_setup is
433
  port (
434
    -- Global control --
435
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
436
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
437
    -- GPIO --
438
    gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
439
    -- UART --
440
    uart_txd_o : out std_ulogic; -- UART send data
441
    uart_rxd_i : in  std_ulogic := '0' -- UART receive data
442
  );
443
end neorv32_test_setup;
444
```
445
 
446
This test setup is intended as quick and easy "hello world" test setup to get into the NEORV32.
447
 
448
 
449
### Compiling and Uploading One of the Example Projects
450
 
451
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain, navigate to an example project like
452
`sw/example/blink_led` and run:
453
 
454
    neorv32/sw/example/blink_led$ make check
455
 
456
The NEORV32 project includes some example programs from which you can start your own application:
457
[SW example projects](https://github.com/stnolting/neorv32/tree/master/sw/example)
458
 
459
Simply compile one of these projects. This will create a NEORV32 executable `neorv32_exe.bin` in the same folder.
460
 
461
    neorv32/sw/example/blink_led$ make clean_all compile
462
 
463
Connect your FPGA board via UART to you computer and open the according port to interface with the NEORV32 bootloader. The bootloader
464
uses the following default UART configuration:
465
 
466
- 19200 Baud
467
- 8 data bits
468
- 1 stop bit
469
- No parity bits
470
- No transmission / flow control protocol (raw bytes only)
471
- Newline on `\r\n` (carriage return & newline)
472
 
473
Use the bootloader console to upload and execute your application image.
474
 
475
Going further: Take a look at the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
476
 
477
 
478
 
479
## Contact
480
 
481 3 zero_gravi
If you have any questions, bug reports, ideas or if you are facing problems with the NEORV32 or want to give some kind of feedback, open a
482 2 zero_gravi
[new issue](https://github.com/stnolting/neorv32/issues) or directly drop me a line:
483
 
484
  stnolting@gmail.com
485
 
486
 
487
 
488
## Citation
489
 
490
If you are using the NEORV32 Processor in some kind of publication, please cite it as follows:
491
 
492
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
493
 
494
 
495
 
496
## Legal
497
 
498
This is a hobby project released under the BSD 3-Clause license. No copyright infringement intended.
499 6 zero_gravi
Other implied/used projects might have different licensing - see their documentation to get more information.
500 2 zero_gravi
 
501
**BSD 3-Clause License**
502
 
503
Copyright (c) 2020, Stephan Nolting. All rights reserved.
504
 
505
Redistribution and use in source and binary forms, with or without modification, are
506
permitted provided that the following conditions are met:
507
 
508
1. Redistributions of source code must retain the above copyright notice, this list of
509
conditions and the following disclaimer.
510
2. Redistributions in binary form must reproduce the above copyright notice, this list of
511
conditions and the following disclaimer in the documentation and/or other materials
512
provided with the distribution.
513
3. Neither the name of the copyright holder nor the names of its contributors may be used to
514
endorse or promote products derived from this software without specific prior written
515
permission.
516
 
517
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
518
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
519
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
520
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
521
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
522
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
523
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
524
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
525
OF THE POSSIBILITY OF SUCH DAMAGE.
526
 
527
 
528
"Windows" is a trademark of Microsoft Corporation.
529
 
530
"Artix" and "Vivado" are trademarks of Xilinx Inc.
531
 
532
"Cyclone", "Quartus Prime" and "Avalon Bus" are trademarks of Intel Corporation.
533
 
534
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
535
 
536
"AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
537
 
538
 
539
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
540
 
541
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
542
 
543
 
544
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
545
 
546
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
547
 
548
 
549 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.