OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [docs/] [datasheet/] [soc_uart.adoc] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
<<<
2
:sectnums:
3
==== Primary Universal Asynchronous Receiver and Transmitter (UART0)
4
 
5
[cols="<3,<3,<4"]
6
[frame="topbot",grid="none"]
7
|=======================
8
| Hardware source file(s): | neorv32_uart.vhd |
9
| Software driver file(s): | neorv32_uart.c |
10
|                          | neorv32_uart.h |
11
| Top entity port:         | `uart0_txd_o` | serial transmitter output UART0
12
|                          | `uart0_rxd_i` | serial receiver input UART0
13
|                          | `uart0_rts_o` | flow control: RX ready to receive
14
|                          | `uart0_cts_i` | flow control: TX allowed to send
15 65 zero_gravi
| Configuration generics:  | _IO_UART0_EN_   | implement UART0 when _true_
16
|                          | _UART0_RX_FIFO_ | RX FIFO depth (power of 2, min 1)
17
|                          | _UART0_TX_FIFO_ | TX FIFO depth (power of 2, min 1)
18
| CPU interrupts:          | fast IRQ channel 2 | RX interrupt
19
|                          | fast IRQ channel 3 | TX interrupt (see <<_processor_interrupts>>)
20 60 zero_gravi
|=======================
21
 
22 65 zero_gravi
The UART is a standard serial interface mainly used to establish a communication channel between a host computer
23
computer/user and an application running on the embedded processor.
24
 
25
The NEORV32 UARTs feature independent transmitter and receiver with a fixed frame configuration of 8 data bits,
26
an optional parity bit (even or odd) and a fixed stop bit. The actual transmission rate - the Baudrate - is
27
programmable via software. Optional FIFOs with custom sizes can be configured for the transmitter and receiver
28
independently.
29
 
30
The UART features two memory-mapped registers `CTRL` and `DATA`, which are used for configuration, status
31
check and data transfer.
32
 
33
[NOTE]
34 60 zero_gravi
Please note that ALL default example programs and software libraries of the NEORV32 software
35
framework (including the bootloader and the runtime environment) use the primary UART
36 65 zero_gravi
(_UART0_) as default user console interface.
37 60 zero_gravi
 
38 65 zero_gravi
 
39 60 zero_gravi
**Theory of Operation**
40
 
41 65 zero_gravi
UART0 is enabled by setting the _UART_CTRL_EN_ bit in the UART0 control register `CTRL`. The Baudrate
42
is configured via a 12-bit _UART_CTRL_BAUDxx_ baud prescaler (`baud_prsc`) and a 3-bit _UART_CTRL_PRSCx_
43
clock prescaler (`clock_prescaler`) that scales the processor's primary clock (_f~main~_).
44 60 zero_gravi
 
45 65 zero_gravi
.UART0 prescaler configuration
46 60 zero_gravi
[cols="<4,^1,^1,^1,^1,^1,^1,^1,^1"]
47
[options="header",grid="rows"]
48
|=======================
49 64 zero_gravi
| **`UART_CTRL_PRSCx`**       | `0b000` | `0b001` | `0b010` | `0b011` | `0b100` | `0b101` | `0b110` | `0b111`
50 60 zero_gravi
| Resulting `clock_prescaler` |       2 |       4 |       8 |      64 |     128 |    1024 |    2048 |    4096
51
|=======================
52
 
53 65 zero_gravi
_**Baudrate**_ = (_f~main~[Hz]_ / `clock_prescaler`) / (`baud_prsc` + 1)
54 60 zero_gravi
 
55 64 zero_gravi
A new transmission is started by writing the data byte to be send to the lowest byte of the `DATA` register. The
56
transfer is completed when the _UART_CTRL_TX_BUSY_ control register flag returns to zero. A new received byte
57 65 zero_gravi
is available when the _UART_DATA_AVAIL_ flag of the `DATA` register is set. A "frame error" in a received byte
58
(invalid stop bit) is indicated via the _UART_DATA_FERR_ flag in the `DATA` register. The flag is cleared by
59
reading the `DATA` register.
60 60 zero_gravi
 
61
 
62 65 zero_gravi
**RX and TX FIFOs**
63 60 zero_gravi
 
64 65 zero_gravi
UART0 provides optional FIFO buffers for the transmitter and the receiver. The _UART0_RX_FIFO_ generic defines
65
the depth of the RX FIFO (for receiving data) while the _UART0_TX_FIFO_ defines the depth of the TX FIFO
66
(for sending data). Both generics have to be a power of two with a minimal allowed value of 1. This minimal
67
value will implement simple "double-buffering" instead of full-featured FIFOs.
68
Both FIFOs are cleared whenever UART0 is disabled (clearing _UART_CTRL_EN_ in `CTRL`).
69 60 zero_gravi
 
70 65 zero_gravi
The state of both FIFO (_empty_, _at lest half-full_, _full_) is available via the _UART_CTRL_?X_EMPTY_,
71
 _UART_CTRL_?X_HALF_ and _UART_CTRL_*X_FULL_ flags in the `CTRL` register.
72 60 zero_gravi
 
73 65 zero_gravi
If the RX FIFO is already full and new data is received by the receiver unit, the _UART_DATA_OVERR_ flag
74
in the `DATA` register is set indicating an "overrun". This flag is cleared by reading the `DATA` register.
75 60 zero_gravi
 
76
 
77
 
78 65 zero_gravi
**Hardware Flow Control - RTS/CTS**
79 60 zero_gravi
 
80 65 zero_gravi
UART0 supports optional hardware flow control using the standard CTS (clear to send) and/or RTS (ready to send
81
/ ready to receive "RTR") signals. Both hardware control flow mechanisms can be enabled individually.
82 60 zero_gravi
 
83 65 zero_gravi
* If **RTS hardware flow control** is enabled by setting the _UART_CTRL_RTS_EN_ control register flag, the UART
84
will pull the `uart0_rts_o` signal low if the UART's receiver is ready to receive new data.
85
As long as this signal is low the connected device can send new data. `uart0_rts_o` is always LOW if the UART is disabled.
86
The RTS line is de-asserted (going high) as soon as the start bit of a new incoming char has been
87
detected.
88 60 zero_gravi
 
89 65 zero_gravi
* If **CTS hardware flow control** is enabled by setting the _UART_CTRL_CTS_EN_ control register flag, the UART's
90
transmitter will not start sending a new data until the `uart0_cts_i` signal goes low. During this time, the UART busy flag
91
_UART_CTRL_TX_BUSY_ remains set. If `uart0_cts_i` is asserted, no new data transmission will be started by the UART.
92
The state of the `uart0_cts_i` signal has no effect on a transmission being already in progress. Application software can check
93 64 zero_gravi
the current state of the `uart0_cts_o` input signal via the _UART_CTRL_CTS_ control register flag.
94 60 zero_gravi
 
95
 
96 65 zero_gravi
**Parity Modes**
97
 
98
An optional parity bit can be added to the data stream if the _UART_CTRL_PMODE1_ flag is set.
99
When _UART_CTRL_PMODE0_ is zero, the UART operates in "even parity" mode. If this flag is set, the UART operates in "odd parity" mode.
100
Parity errors in received data are indicated via the _UART_DATA_PERR_ flag in the `DATA` register. This flag is updated with each new
101
received character and is cleared by reading the `DATA` register.
102
 
103
 
104 60 zero_gravi
**Interrupts**
105
 
106 65 zero_gravi
UART0 features two independent interrupt for signaling certain RX and TX conditions. The behavior of these interrupts differ
107
based on the configured FIFO size. If the according FIFO size is greater than 1, the _UART_CTRL_RX_IRQ_ and _UART_CTRL_TX_IRQ_
108
`CTRL` flags allow a more fine-grained IRQ configuration.
109 60 zero_gravi
 
110 65 zero_gravi
* If _UART0_RX_FIFO_ is exactly 1, the RX interrupt becomes pending as soon as there is data available in the RX FIFO
111
(-> _UART_CTRL_RX_EMPTY_ clears). This flag is hardwired to `0` if _UART0_RX_FIFO_ = 1.
112
* If _UART0_TX_FIFO_ is exactly 1, the TX interrupt becomes pending as soon as there is a free entry left in the TX FIFO
113
(-> _UART_CTRL_TX_FULL_ clears). This flag is hardwired to `0` if _UART0_RX_FIFO_ = 1.
114 60 zero_gravi
 
115 65 zero_gravi
* If _UART0_RX_FIFO_ is greater than 1: If _UART_CTRL_RX_IRQ_ is `0` the RX interrupt becomes pending as soon as there is data
116
available in the RX FIFO (-> _UART_CTRL_RX_EMPTY_ clears). If _UART_CTRL_RX_IRQ_ is `1` the RX interrupt becomes pending as soon as
117
the RX FIFO is at least half-full (-> _UART_CTRL_RX_HALF_ sets).
118
* If _UART0_TX_FIFO_ is greater than 1: If _UART_CTRL_TX_IRQ_ is `0` the TX interrupt becomes pending as soon as there is a free
119
entry left in the TX FIFO (-> _UART_CTRL_TX_FULL_ clears). If _UART_CTRL_TX_IRQ_ is `1` the TX interrupt becomes pending as soon as
120
the RX FIFO is less than half-full (-> _UART_CTRL_TX_HALF_ clears).
121
 
122
An interrupt can only become pending if the according interrupt condition is fulfilled and the UART is enabled at all.
123
A pending interrupt is removed by resolving the interrupt-triggering conditions (for example by reading data from the
124
more-than-half-full RX FIFO).
125
 
126
 
127 60 zero_gravi
**Simulation Mode**
128
 
129 64 zero_gravi
The default UART0 operation will transmit any data written to the `DATA` register via the serial TX line at
130 65 zero_gravi
the defined baud rate via the physical link. To accelerate UART0 output during simulation
131
(and also to dump large amounts of data) the UART0 features a _simulation mode_.
132 60 zero_gravi
 
133 65 zero_gravi
Simulation mode is enabled by setting the _UART_CTRL_SIM_MODE_ bit in the UART0's control register
134
`CTRL`. Any other UART0 configuration bits are irrelevant for this mode but UART0 has to be enabled via the
135
_UART_CTRL_EN_ bit. There will be no physical UART0 transmissions via `uart0_txd_o` at all when
136
simulation mode is enabled. Furthermore, no interrupts (RX & TX) will be triggered.
137 60 zero_gravi
 
138 65 zero_gravi
When the simulation mode is enabled any data written to `DATA[7:0]` is
139
directly output as ASCII char to the simulator console. Additionally, all chars are also stored to a text file
140
`neorv32.uart0.sim_mode.text.out` in the simulation home folder.
141 60 zero_gravi
 
142 65 zero_gravi
Furthermore, the whole 32-bit word written to `DATA[31:0]` is stored as plain 8-char hexadecimal value to a
143
second text file `neorv32.uart0.sim_mode.data.out` also located in the simulation home folder.
144
 
145 60 zero_gravi
[TIP]
146 65 zero_gravi
More information regarding the simulation-mode of the UART0 can be found in the User Guide
147 62 zero_gravi
section https://stnolting.github.io/neorv32/ug/#_simulating_the_processor[Simulating the Processor].
148 60 zero_gravi
 
149 65 zero_gravi
 
150 64 zero_gravi
.UART0 register map (`struct NEORV32_UART0`)
151 60 zero_gravi
[cols="<6,<7,<10,^2,<18"]
152
[options="header",grid="all"]
153
|=======================
154
| Address | Name [C] | Bit(s), Name [C] | R/W | Function
155 65 zero_gravi
.21+<| `0xffffffa0` .21+<| `NEORV32_UART0.CTRL` <|`11:0` _UART_CTRL_BAUDxx_ ^| r/w <| 12-bit BAUD value configuration value
156
                                                <|`12` _UART_CTRL_SIM_MODE_ ^| r/w <| enable **simulation mode**
157
                                                <|`13` _UART_CTRL_RX_EMPTY_ ^| r/- <| RX FIFO is empty
158
                                                <|`14` _UART_CTRL_RX_HALF_  ^| r/- <| RX FIFO is at least half-full
159
                                                <|`15` _UART_CTRL_RX_FULL_  ^| r/- <| RX FIFO is full
160
                                                <|`16` _UART_CTRL_TX_EMPTY_ ^| r/- <| TX FIFO is empty
161
                                                <|`17` _UART_CTRL_TX_HALF_  ^| r/- <| TX FIFO is at least half-full
162
                                                <|`18` _UART_CTRL_TX_FULL_  ^| r/- <| TX FIFO is full
163
                                                <|`19` -                    ^| r/- <| _reserved_, read as zero
164
                                                <|`20` _UART_CTRL_RTS_EN_   ^| r/w <| enable RTS hardware flow control
165
                                                <|`21` _UART_CTRL_CTS_EN_   ^| r/w <| enable CTS hardware flow control
166
                                                <|`22` _UART_CTRL_PMODE0_   ^| r/w .2+<| parity bit enable and configuration (`00`/`01`= no parity; `10`=even parity; `11`=odd parity)
167
                                                <|`23` _UART_CTRL_PMODE1_   ^| r/w
168
                                                <|`24` _UART_CTRL_PRSC0_    ^| r/w .3+<| 3-bit baudrate clock prescaler select
169
                                                <|`25` _UART_CTRL_PRSC1_    ^| r/w
170
                                                <|`26` _UART_CTRL_PRSC2_    ^| r/w
171
                                                <|`27` _UART_CTRL_CTS_      ^| r/- <| current state of UART's CTS input signal
172
                                                <|`28` _UART_CTRL_EN_       ^| r/w <| UART enable
173
                                                <|`29` _UART_CTRL_RX_IRQ_   ^| r/w <| RX IRQ mode: `1`=FIFO at least half-full; `0`=FIFO not empty
174
                                                <|`30` _UART_CTRL_TX_IRQ_   ^| r/w <| TX IRQ mode: `1`=FIFO less than half-full; `0`=FIFO not full
175
                                                <|`31` _UART_CTRL_TX_BUSY_  ^| r/- <| transmitter busy flag
176 64 zero_gravi
.6+<| `0xffffffa4` .6+<| `NEORV32_UART0.DATA` <|`7:0` _UART_DATA_MSB_ : _UART_DATA_LSB_ ^| r/w <| receive/transmit data (8-bit)
177
                                              <|`31:0` -                ^| -/w <| **simulation data output**
178
                                              <|`28` _UART_DATA_PERR_   ^| r/- <| RX parity error
179
                                              <|`29` _UART_DATA_FERR_   ^| r/- <| RX data frame error (stop bit nt set)
180
                                              <|`30` _UART_DATA_OVERR_  ^| r/- <| RX data overrun
181
                                              <|`31` _UART_DATA_AVAIL_  ^| r/- <| RX data available when set
182 60 zero_gravi
|=======================
183
 
184
 
185
 
186
<<<
187
// ####################################################################################################################
188
:sectnums:
189
==== Secondary Universal Asynchronous Receiver and Transmitter (UART1)
190
 
191
[cols="<3,<3,<4"]
192
[frame="topbot",grid="none"]
193
|=======================
194
| Hardware source file(s): | neorv32_uart.vhd |
195
| Software driver file(s): | neorv32_uart.c |
196
|                          | neorv32_uart.h |
197
| Top entity port:         | `uart1_txd_o` | serial transmitter output UART1
198
|                          | `uart1_rxd_i` | serial receiver input UART1
199
|                          | `uart1_rts_o` | flow control: RX ready to receive
200
|                          | `uart1_cts_i` | flow control: TX allowed to send
201 65 zero_gravi
| Configuration generics:  | _IO_UART1_EN_   | implement UART1 when _true_
202
|                          | _UART1_RX_FIFO_ | RX FIFO depth (power of 2, min 1)
203
|                          | _UART1_TX_FIFO_ | TX FIFO depth (power of 2, min 1)
204
| CPU interrupts:          | fast IRQ channel 4 | RX interrupt
205
|                          | fast IRQ channel 5 | TX interrupt (see <<_processor_interrupts>>)
206 60 zero_gravi
|=======================
207
 
208 65 zero_gravi
 
209 60 zero_gravi
**Theory of Operation**
210
 
211
The secondary UART (UART1) is functional identical to the primary UART (<<_primary_universal_asynchronous_receiver_and_transmitter_uart0>>).
212 65 zero_gravi
Obviously, UART1 has different addresses for the control register (`CTRL`) and the data register (`DATA`) - see the register map below.
213
The register's bits/flags use the same bit positions and naming as for the primary UART. The RX and TX interrupts of UART1 are
214
mapped to different CPU fast interrupt (FIRQ) channels.
215 60 zero_gravi
 
216 65 zero_gravi
 
217 60 zero_gravi
**Simulation Mode**
218
 
219
The secondary UART (UART1) provides the same simulation options as the primary UART. However,
220
output data is written to UART1-specific files: `neorv32.uart1.sim_mode.text.out` is used to store
221
plain ASCII text and `neorv32.uart1.sim_mode.data.out` is used to store full 32-bit hexadecimal
222 65 zero_gravi
data words.
223 60 zero_gravi
 
224 65 zero_gravi
 
225 64 zero_gravi
.UART1 register map (`struct NEORV32_UART1`)
226 60 zero_gravi
[cols="<6,<7,<10,^2,<18"]
227
[options="header",grid="all"]
228
|=======================
229
| Address | Name [C] | Bit(s), Name [C] | R/W | Function
230 65 zero_gravi
.21+<| `0xffffffd0` .21+<| `NEORV32_UART1.CTRL` <|`11:0` _UART_CTRL_BAUDxx_ ^| r/w <| 12-bit BAUD value configuration value
231
                                                <|`12` _UART_CTRL_SIM_MODE_ ^| r/w <| enable **simulation mode**
232
                                                <|`13` _UART_CTRL_RX_EMPTY_ ^| r/- <| RX FIFO is empty
233
                                                <|`14` _UART_CTRL_RX_HALF_  ^| r/- <| RX FIFO is at least half-full
234
                                                <|`15` _UART_CTRL_RX_FULL_  ^| r/- <| RX FIFO is full
235
                                                <|`16` _UART_CTRL_TX_EMPTY_ ^| r/- <| TX FIFO is empty
236
                                                <|`17` _UART_CTRL_TX_HALF_  ^| r/- <| TX FIFO is at least half-full
237
                                                <|`18` _UART_CTRL_TX_FULL_  ^| r/- <| TX FIFO is full
238
                                                <|`19` -                    ^| r/- <| _reserved_, read as zero
239
                                                <|`20` _UART_CTRL_RTS_EN_   ^| r/w <| enable RTS hardware flow control
240
                                                <|`21` _UART_CTRL_CTS_EN_   ^| r/w <| enable CTS hardware flow control
241
                                                <|`22` _UART_CTRL_PMODE0_   ^| r/w .2+<| parity bit enable and configuration (`00`/`01`= no parity; `10`=even parity; `11`=odd parity)
242
                                                <|`23` _UART_CTRL_PMODE1_   ^| r/w
243
                                                <|`24` _UART_CTRL_PRSC0_    ^| r/w .3+<| 3-bit baudrate clock prescaler select
244
                                                <|`25` _UART_CTRL_PRSC1_    ^| r/w
245
                                                <|`26` _UART_CTRL_PRSC2_    ^| r/w
246
                                                <|`27` _UART_CTRL_CTS_      ^| r/- <| current state of UART's CTS input signal
247
                                                <|`28` _UART_CTRL_EN_       ^| r/w <| UART enable
248
                                                <|`29` _UART_CTRL_RX_IRQ_   ^| r/w <| RX IRQ mode: `1`=FIFO at least half-full; `0`=FIFO not empty; hardwired to zero if _UART0_RX_FIFO_ = 1
249
                                                <|`30` _UART_CTRL_TX_IRQ_   ^| r/w <| TX IRQ mode: `1`=FIFO less than half-full; `0`=FIFO not full; hardwired to zero if _UART0_TX_FIFO_ = 1
250
                                                <|`31` _UART_CTRL_TX_BUSY_  ^| r/- <| transmitter busy flag
251 64 zero_gravi
.6+<| `0xffffffd4` .6+<| `NEORV32_UART1.DATA` <|`7:0` _UART_DATA_MSB_ : _UART_DATA_LSB_ ^| r/w <| receive/transmit data (8-bit)
252
                                              <|`31:0` -                ^| -/w <| **simulation data output**
253
                                              <|`28` _UART_DATA_PERR_   ^| r/- <| RX parity error
254
                                              <|`29` _UART_DATA_FERR_   ^| r/- <| RX data frame error (stop bit nt set)
255
                                              <|`30` _UART_DATA_OVERR_  ^| r/- <| RX data overrun
256
                                              <|`31` _UART_DATA_AVAIL_  ^| r/- <| RX data available when set
257 60 zero_gravi
|=======================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.