OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 14 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9 23 zero_gravi
  type application_init_image_t is array (0 to 836) of std_ulogic_vector(31 downto 0);
10 2 zero_gravi
  constant application_init_image : application_init_image_t := (
11 14 zero_gravi
    00000000 => x"00000093",
12 22 zero_gravi
    00000001 => x"00000113",
13
    00000002 => x"00000193",
14
    00000003 => x"00000213",
15
    00000004 => x"00000293",
16
    00000005 => x"00000313",
17
    00000006 => x"00000393",
18
    00000007 => x"00000413",
19
    00000008 => x"00000493",
20 23 zero_gravi
    00000009 => x"00000713",
21
    00000010 => x"00000793",
22
    00000011 => x"00002537",
23
    00000012 => x"80050513",
24
    00000013 => x"30051073",
25
    00000014 => x"30401073",
26
    00000015 => x"80002117",
27
    00000016 => x"fc010113",
28
    00000017 => x"ffc17113",
29
    00000018 => x"00010413",
30
    00000019 => x"80000197",
31
    00000020 => x"7b418193",
32
    00000021 => x"00000597",
33
    00000022 => x"08058593",
34
    00000023 => x"30559073",
35
    00000024 => x"f8000593",
36
    00000025 => x"0005a023",
37
    00000026 => x"00458593",
38
    00000027 => x"feb01ce3",
39
    00000028 => x"80000597",
40
    00000029 => x"f9058593",
41
    00000030 => x"84018613",
42
    00000031 => x"00c5d863",
43
    00000032 => x"00058023",
44
    00000033 => x"00158593",
45
    00000034 => x"ff5ff06f",
46
    00000035 => x"00001597",
47
    00000036 => x"c8458593",
48
    00000037 => x"80000617",
49
    00000038 => x"f6c60613",
50
    00000039 => x"80000697",
51
    00000040 => x"f6468693",
52
    00000041 => x"00d65c63",
53
    00000042 => x"00058703",
54
    00000043 => x"00e60023",
55
    00000044 => x"00158593",
56
    00000045 => x"00160613",
57
    00000046 => x"fedff06f",
58
    00000047 => x"00000513",
59
    00000048 => x"00000593",
60
    00000049 => x"05c000ef",
61
    00000050 => x"30047073",
62
    00000051 => x"10500073",
63
    00000052 => x"0000006f",
64
    00000053 => x"ff810113",
65
    00000054 => x"00812023",
66
    00000055 => x"00912223",
67
    00000056 => x"34202473",
68
    00000057 => x"02044663",
69
    00000058 => x"34102473",
70
    00000059 => x"00041483",
71
    00000060 => x"0034f493",
72
    00000061 => x"00240413",
73
    00000062 => x"34141073",
74
    00000063 => x"00300413",
75
    00000064 => x"00941863",
76
    00000065 => x"34102473",
77
    00000066 => x"00240413",
78
    00000067 => x"34141073",
79
    00000068 => x"00012483",
80
    00000069 => x"00412403",
81
    00000070 => x"00810113",
82
    00000071 => x"30200073",
83
    00000072 => x"00005537",
84
    00000073 => x"ff010113",
85
    00000074 => x"00000613",
86
    00000075 => x"00000593",
87
    00000076 => x"b0050513",
88
    00000077 => x"00112623",
89
    00000078 => x"00812423",
90
    00000079 => x"500000ef",
91
    00000080 => x"7e4000ef",
92
    00000081 => x"02050c63",
93
    00000082 => x"3a4000ef",
94
    00000083 => x"00001537",
95
    00000084 => x"a3850513",
96
    00000085 => x"584000ef",
97
    00000086 => x"00000513",
98
    00000087 => x"7d8000ef",
99
    00000088 => x"00000413",
100
    00000089 => x"0ff47513",
101
    00000090 => x"7cc000ef",
102
    00000091 => x"0c800513",
103
    00000092 => x"774000ef",
104
    00000093 => x"00140413",
105
    00000094 => x"fedff06f",
106
    00000095 => x"00001537",
107
    00000096 => x"a1450513",
108
    00000097 => x"554000ef",
109
    00000098 => x"00c12083",
110
    00000099 => x"00812403",
111
    00000100 => x"00000513",
112
    00000101 => x"01010113",
113
    00000102 => x"00008067",
114 22 zero_gravi
    00000103 => x"00000000",
115
    00000104 => x"fc010113",
116
    00000105 => x"02112e23",
117
    00000106 => x"02512c23",
118
    00000107 => x"02612a23",
119
    00000108 => x"02712823",
120
    00000109 => x"02a12623",
121
    00000110 => x"02b12423",
122
    00000111 => x"02c12223",
123
    00000112 => x"02d12023",
124
    00000113 => x"00e12e23",
125
    00000114 => x"00f12c23",
126
    00000115 => x"01012a23",
127
    00000116 => x"01112823",
128
    00000117 => x"01c12623",
129
    00000118 => x"01d12423",
130
    00000119 => x"01e12223",
131
    00000120 => x"01f12023",
132
    00000121 => x"34102773",
133
    00000122 => x"342027f3",
134
    00000123 => x"0807c863",
135
    00000124 => x"00071683",
136
    00000125 => x"00300593",
137
    00000126 => x"0036f693",
138
    00000127 => x"00270613",
139
    00000128 => x"00b69463",
140
    00000129 => x"00470613",
141
    00000130 => x"34161073",
142
    00000131 => x"00b00713",
143
    00000132 => x"04f77a63",
144
    00000133 => x"34000793",
145
    00000134 => x"000780e7",
146
    00000135 => x"03c12083",
147
    00000136 => x"03812283",
148
    00000137 => x"03412303",
149
    00000138 => x"03012383",
150
    00000139 => x"02c12503",
151
    00000140 => x"02812583",
152
    00000141 => x"02412603",
153
    00000142 => x"02012683",
154
    00000143 => x"01c12703",
155
    00000144 => x"01812783",
156
    00000145 => x"01412803",
157
    00000146 => x"01012883",
158
    00000147 => x"00c12e03",
159
    00000148 => x"00812e83",
160
    00000149 => x"00412f03",
161
    00000150 => x"00012f83",
162
    00000151 => x"04010113",
163
    00000152 => x"30200073",
164
    00000153 => x"00001737",
165
    00000154 => x"00279793",
166
    00000155 => x"a5470713",
167
    00000156 => x"00e787b3",
168
    00000157 => x"0007a783",
169
    00000158 => x"00078067",
170
    00000159 => x"80000737",
171
    00000160 => x"ffd74713",
172
    00000161 => x"00e787b3",
173
    00000162 => x"01000713",
174
    00000163 => x"f8f764e3",
175
    00000164 => x"00001737",
176
    00000165 => x"00279793",
177
    00000166 => x"a8470713",
178
    00000167 => x"00e787b3",
179
    00000168 => x"0007a783",
180
    00000169 => x"00078067",
181
    00000170 => x"800007b7",
182
    00000171 => x"0007a783",
183
    00000172 => x"f69ff06f",
184
    00000173 => x"800007b7",
185
    00000174 => x"0047a783",
186
    00000175 => x"f5dff06f",
187
    00000176 => x"800007b7",
188
    00000177 => x"0087a783",
189
    00000178 => x"f51ff06f",
190
    00000179 => x"800007b7",
191
    00000180 => x"00c7a783",
192
    00000181 => x"f45ff06f",
193
    00000182 => x"8101a783",
194
    00000183 => x"f3dff06f",
195
    00000184 => x"8141a783",
196
    00000185 => x"f35ff06f",
197
    00000186 => x"8181a783",
198
    00000187 => x"f2dff06f",
199
    00000188 => x"81c1a783",
200
    00000189 => x"f25ff06f",
201
    00000190 => x"8201a783",
202
    00000191 => x"f1dff06f",
203
    00000192 => x"8241a783",
204
    00000193 => x"f15ff06f",
205
    00000194 => x"8281a783",
206
    00000195 => x"f0dff06f",
207
    00000196 => x"82c1a783",
208
    00000197 => x"f05ff06f",
209
    00000198 => x"8301a783",
210
    00000199 => x"efdff06f",
211
    00000200 => x"8341a783",
212
    00000201 => x"ef5ff06f",
213
    00000202 => x"8381a783",
214
    00000203 => x"eedff06f",
215
    00000204 => x"83c1a783",
216
    00000205 => x"ee5ff06f",
217
    00000206 => x"00000000",
218
    00000207 => x"00000000",
219
    00000208 => x"00001537",
220
    00000209 => x"ff010113",
221
    00000210 => x"ac850513",
222
    00000211 => x"00112623",
223
    00000212 => x"00812423",
224
    00000213 => x"3dc000ef",
225
    00000214 => x"34202473",
226
    00000215 => x"00b00793",
227
    00000216 => x"0487f263",
228
    00000217 => x"800007b7",
229
    00000218 => x"ffd7c793",
230
    00000219 => x"00f407b3",
231
    00000220 => x"01000713",
232
    00000221 => x"00f77c63",
233
    00000222 => x"00001537",
234
    00000223 => x"00040593",
235 23 zero_gravi
    00000224 => x"c5050513",
236 22 zero_gravi
    00000225 => x"3ac000ef",
237
    00000226 => x"0400006f",
238
    00000227 => x"00001737",
239
    00000228 => x"00279793",
240 23 zero_gravi
    00000229 => x"c7c70713",
241 22 zero_gravi
    00000230 => x"00e787b3",
242
    00000231 => x"0007a783",
243
    00000232 => x"00078067",
244
    00000233 => x"00001737",
245
    00000234 => x"00241793",
246 23 zero_gravi
    00000235 => x"cc070713",
247 22 zero_gravi
    00000236 => x"00e787b3",
248
    00000237 => x"0007a783",
249
    00000238 => x"00078067",
250
    00000239 => x"00001537",
251
    00000240 => x"ad050513",
252
    00000241 => x"36c000ef",
253
    00000242 => x"341025f3",
254
    00000243 => x"00059783",
255
    00000244 => x"00044a63",
256
    00000245 => x"0037f793",
257
    00000246 => x"00300713",
258
    00000247 => x"0ce79c63",
259
    00000248 => x"ffc58593",
260
    00000249 => x"34302673",
261
    00000250 => x"00812403",
262
    00000251 => x"00c12083",
263
    00000252 => x"00001537",
264 23 zero_gravi
    00000253 => x"c6050513",
265 22 zero_gravi
    00000254 => x"01010113",
266
    00000255 => x"3340006f",
267
    00000256 => x"00001537",
268
    00000257 => x"af050513",
269
    00000258 => x"fbdff06f",
270
    00000259 => x"00001537",
271
    00000260 => x"b0c50513",
272
    00000261 => x"fb1ff06f",
273
    00000262 => x"00001537",
274
    00000263 => x"b2050513",
275
    00000264 => x"fa5ff06f",
276 14 zero_gravi
    00000265 => x"00001537",
277 22 zero_gravi
    00000266 => x"b2c50513",
278
    00000267 => x"f99ff06f",
279
    00000268 => x"00001537",
280
    00000269 => x"b4450513",
281
    00000270 => x"f8dff06f",
282
    00000271 => x"00001537",
283
    00000272 => x"b5850513",
284
    00000273 => x"f81ff06f",
285
    00000274 => x"00001537",
286
    00000275 => x"b7450513",
287
    00000276 => x"f75ff06f",
288
    00000277 => x"00001537",
289
    00000278 => x"b8850513",
290
    00000279 => x"f69ff06f",
291
    00000280 => x"00001537",
292
    00000281 => x"b9c50513",
293
    00000282 => x"f5dff06f",
294
    00000283 => x"00001537",
295
    00000284 => x"bb850513",
296
    00000285 => x"f51ff06f",
297 14 zero_gravi
    00000286 => x"00001537",
298 22 zero_gravi
    00000287 => x"bd050513",
299
    00000288 => x"f45ff06f",
300 14 zero_gravi
    00000289 => x"00001537",
301 22 zero_gravi
    00000290 => x"bec50513",
302
    00000291 => x"f39ff06f",
303
    00000292 => x"00001537",
304 23 zero_gravi
    00000293 => x"c0450513",
305 22 zero_gravi
    00000294 => x"f2dff06f",
306 14 zero_gravi
    00000295 => x"00001537",
307 23 zero_gravi
    00000296 => x"c1c50513",
308 22 zero_gravi
    00000297 => x"f21ff06f",
309
    00000298 => x"00001537",
310 23 zero_gravi
    00000299 => x"c3450513",
311 22 zero_gravi
    00000300 => x"f15ff06f",
312
    00000301 => x"ffe58593",
313
    00000302 => x"f2dff06f",
314
    00000303 => x"00f00793",
315
    00000304 => x"02a7e263",
316
    00000305 => x"800007b7",
317
    00000306 => x"00078793",
318
    00000307 => x"00251513",
319
    00000308 => x"00a78533",
320
    00000309 => x"34000793",
321
    00000310 => x"00f52023",
322
    00000311 => x"00000513",
323
    00000312 => x"00008067",
324
    00000313 => x"00100513",
325
    00000314 => x"00008067",
326
    00000315 => x"ff010113",
327
    00000316 => x"00112623",
328
    00000317 => x"00812423",
329
    00000318 => x"00912223",
330
    00000319 => x"1a000793",
331
    00000320 => x"30579073",
332
    00000321 => x"00000413",
333
    00000322 => x"01000493",
334
    00000323 => x"00040513",
335
    00000324 => x"00140413",
336
    00000325 => x"0ff47413",
337
    00000326 => x"fa5ff0ef",
338
    00000327 => x"fe9418e3",
339
    00000328 => x"00c12083",
340
    00000329 => x"00812403",
341
    00000330 => x"00412483",
342
    00000331 => x"01010113",
343
    00000332 => x"00008067",
344
    00000333 => x"fd010113",
345
    00000334 => x"02812423",
346
    00000335 => x"02912223",
347
    00000336 => x"03212023",
348
    00000337 => x"01312e23",
349
    00000338 => x"01412c23",
350
    00000339 => x"02112623",
351
    00000340 => x"01512a23",
352
    00000341 => x"00001a37",
353
    00000342 => x"00050493",
354
    00000343 => x"00058413",
355
    00000344 => x"00058523",
356
    00000345 => x"00000993",
357
    00000346 => x"00410913",
358 23 zero_gravi
    00000347 => x"cf0a0a13",
359 22 zero_gravi
    00000348 => x"00a00593",
360
    00000349 => x"00048513",
361
    00000350 => x"438000ef",
362
    00000351 => x"00aa0533",
363
    00000352 => x"00054783",
364
    00000353 => x"01390ab3",
365
    00000354 => x"00048513",
366
    00000355 => x"00fa8023",
367
    00000356 => x"00a00593",
368
    00000357 => x"3d4000ef",
369
    00000358 => x"00198993",
370
    00000359 => x"00a00793",
371
    00000360 => x"00050493",
372
    00000361 => x"fcf996e3",
373
    00000362 => x"00090693",
374
    00000363 => x"00900713",
375
    00000364 => x"03000613",
376
    00000365 => x"0096c583",
377
    00000366 => x"00070793",
378
    00000367 => x"fff70713",
379
    00000368 => x"01071713",
380
    00000369 => x"01075713",
381
    00000370 => x"00c59a63",
382
    00000371 => x"000684a3",
383
    00000372 => x"fff68693",
384
    00000373 => x"fe0710e3",
385
    00000374 => x"00000793",
386
    00000375 => x"00f907b3",
387
    00000376 => x"00000593",
388
    00000377 => x"0007c703",
389
    00000378 => x"00070c63",
390
    00000379 => x"00158693",
391
    00000380 => x"00b405b3",
392
    00000381 => x"00e58023",
393
    00000382 => x"01069593",
394
    00000383 => x"0105d593",
395
    00000384 => x"fff78713",
396
    00000385 => x"02f91863",
397
    00000386 => x"00b40433",
398
    00000387 => x"00040023",
399
    00000388 => x"02c12083",
400
    00000389 => x"02812403",
401
    00000390 => x"02412483",
402
    00000391 => x"02012903",
403
    00000392 => x"01c12983",
404
    00000393 => x"01812a03",
405
    00000394 => x"01412a83",
406
    00000395 => x"03010113",
407 14 zero_gravi
    00000396 => x"00008067",
408 22 zero_gravi
    00000397 => x"00070793",
409
    00000398 => x"fadff06f",
410
    00000399 => x"fa002023",
411
    00000400 => x"fe002683",
412
    00000401 => x"00151513",
413
    00000402 => x"00000713",
414
    00000403 => x"04a6f263",
415
    00000404 => x"000016b7",
416
    00000405 => x"00000793",
417
    00000406 => x"ffe68693",
418
    00000407 => x"04e6e463",
419
    00000408 => x"00167613",
420
    00000409 => x"0015f593",
421
    00000410 => x"01879793",
422
    00000411 => x"01e61613",
423
    00000412 => x"00c7e7b3",
424
    00000413 => x"01d59593",
425
    00000414 => x"00b7e7b3",
426
    00000415 => x"00e7e7b3",
427
    00000416 => x"10000737",
428
    00000417 => x"00e7e7b3",
429
    00000418 => x"faf02023",
430
    00000419 => x"00008067",
431
    00000420 => x"00170793",
432
    00000421 => x"01079713",
433
    00000422 => x"40a686b3",
434
    00000423 => x"01075713",
435
    00000424 => x"fadff06f",
436
    00000425 => x"ffe78513",
437
    00000426 => x"0fd57513",
438
    00000427 => x"00051a63",
439
    00000428 => x"00375713",
440
    00000429 => x"00178793",
441
    00000430 => x"0ff7f793",
442
    00000431 => x"fa1ff06f",
443
    00000432 => x"00175713",
444
    00000433 => x"ff1ff06f",
445
    00000434 => x"fa002783",
446
    00000435 => x"fe07cee3",
447
    00000436 => x"faa02223",
448
    00000437 => x"00008067",
449
    00000438 => x"ff010113",
450
    00000439 => x"00812423",
451
    00000440 => x"01212023",
452
    00000441 => x"00112623",
453
    00000442 => x"00912223",
454
    00000443 => x"00050413",
455
    00000444 => x"00a00913",
456
    00000445 => x"00044483",
457
    00000446 => x"00140413",
458
    00000447 => x"00049e63",
459
    00000448 => x"00c12083",
460
    00000449 => x"00812403",
461
    00000450 => x"00412483",
462
    00000451 => x"00012903",
463
    00000452 => x"01010113",
464
    00000453 => x"00008067",
465
    00000454 => x"01249663",
466
    00000455 => x"00d00513",
467
    00000456 => x"fa9ff0ef",
468
    00000457 => x"00048513",
469
    00000458 => x"fa1ff0ef",
470
    00000459 => x"fc9ff06f",
471
    00000460 => x"fa010113",
472
    00000461 => x"02912a23",
473
    00000462 => x"04f12a23",
474
    00000463 => x"000014b7",
475
    00000464 => x"04410793",
476
    00000465 => x"02812c23",
477
    00000466 => x"03212823",
478
    00000467 => x"03412423",
479
    00000468 => x"03512223",
480
    00000469 => x"03612023",
481
    00000470 => x"01712e23",
482
    00000471 => x"02112e23",
483
    00000472 => x"03312623",
484
    00000473 => x"01812c23",
485
    00000474 => x"00050413",
486
    00000475 => x"04b12223",
487
    00000476 => x"04c12423",
488
    00000477 => x"04d12623",
489
    00000478 => x"04e12823",
490
    00000479 => x"05012c23",
491
    00000480 => x"05112e23",
492
    00000481 => x"00f12023",
493
    00000482 => x"02500a13",
494
    00000483 => x"00a00a93",
495
    00000484 => x"07300913",
496
    00000485 => x"07500b13",
497
    00000486 => x"07800b93",
498 23 zero_gravi
    00000487 => x"cfc48493",
499 22 zero_gravi
    00000488 => x"00044c03",
500
    00000489 => x"020c0463",
501
    00000490 => x"134c1263",
502
    00000491 => x"00144783",
503
    00000492 => x"00240993",
504
    00000493 => x"09278c63",
505
    00000494 => x"04f96263",
506
    00000495 => x"06300713",
507
    00000496 => x"0ae78463",
508
    00000497 => x"06900713",
509
    00000498 => x"0ae78c63",
510
    00000499 => x"03c12083",
511
    00000500 => x"03812403",
512
    00000501 => x"03412483",
513
    00000502 => x"03012903",
514
    00000503 => x"02c12983",
515
    00000504 => x"02812a03",
516
    00000505 => x"02412a83",
517
    00000506 => x"02012b03",
518
    00000507 => x"01c12b83",
519
    00000508 => x"01812c03",
520
    00000509 => x"06010113",
521 14 zero_gravi
    00000510 => x"00008067",
522 22 zero_gravi
    00000511 => x"0b678c63",
523
    00000512 => x"fd7796e3",
524
    00000513 => x"00012783",
525
    00000514 => x"00410693",
526
    00000515 => x"00068513",
527
    00000516 => x"0007a583",
528
    00000517 => x"00478713",
529
    00000518 => x"00e12023",
530
    00000519 => x"02000613",
531
    00000520 => x"00000713",
532
    00000521 => x"00e5d7b3",
533
    00000522 => x"00f7f793",
534
    00000523 => x"00f487b3",
535
    00000524 => x"0007c783",
536
    00000525 => x"00470713",
537
    00000526 => x"fff68693",
538
    00000527 => x"00f68423",
539
    00000528 => x"fec712e3",
540
    00000529 => x"00010623",
541
    00000530 => x"0140006f",
542
    00000531 => x"00012783",
543
    00000532 => x"0007a503",
544
    00000533 => x"00478713",
545
    00000534 => x"00e12023",
546
    00000535 => x"e7dff0ef",
547
    00000536 => x"00098413",
548
    00000537 => x"f3dff06f",
549
    00000538 => x"00012783",
550
    00000539 => x"0007c503",
551
    00000540 => x"00478713",
552
    00000541 => x"00e12023",
553
    00000542 => x"e51ff0ef",
554
    00000543 => x"fe5ff06f",
555
    00000544 => x"00012783",
556
    00000545 => x"0007a403",
557
    00000546 => x"00478713",
558
    00000547 => x"00e12023",
559
    00000548 => x"00045863",
560
    00000549 => x"02d00513",
561
    00000550 => x"40800433",
562
    00000551 => x"e2dff0ef",
563
    00000552 => x"00410593",
564
    00000553 => x"00040513",
565
    00000554 => x"c8dff0ef",
566
    00000555 => x"00410513",
567
    00000556 => x"fadff06f",
568
    00000557 => x"00012783",
569
    00000558 => x"00410593",
570
    00000559 => x"00478713",
571
    00000560 => x"0007a503",
572
    00000561 => x"00e12023",
573
    00000562 => x"fe1ff06f",
574
    00000563 => x"015c1663",
575
    00000564 => x"00d00513",
576
    00000565 => x"df5ff0ef",
577
    00000566 => x"00140993",
578
    00000567 => x"000c0513",
579
    00000568 => x"f99ff06f",
580
    00000569 => x"00050593",
581
    00000570 => x"fe002503",
582
    00000571 => x"ff010113",
583
    00000572 => x"00112623",
584
    00000573 => x"00f55513",
585
    00000574 => x"044000ef",
586
    00000575 => x"00051863",
587
    00000576 => x"00c12083",
588
    00000577 => x"01010113",
589
    00000578 => x"00008067",
590
    00000579 => x"00000013",
591
    00000580 => x"00000013",
592
    00000581 => x"00000013",
593
    00000582 => x"00000013",
594
    00000583 => x"fff50513",
595
    00000584 => x"fddff06f",
596
    00000585 => x"fe802503",
597
    00000586 => x"01055513",
598
    00000587 => x"00157513",
599
    00000588 => x"00008067",
600
    00000589 => x"f8a02223",
601
    00000590 => x"00008067",
602
    00000591 => x"00050613",
603
    00000592 => x"00000513",
604
    00000593 => x"0015f693",
605
    00000594 => x"00068463",
606
    00000595 => x"00c50533",
607
    00000596 => x"0015d593",
608
    00000597 => x"00161613",
609
    00000598 => x"fe0596e3",
610
    00000599 => x"00008067",
611
    00000600 => x"06054063",
612
    00000601 => x"0605c663",
613
    00000602 => x"00058613",
614
    00000603 => x"00050593",
615
    00000604 => x"fff00513",
616
    00000605 => x"02060c63",
617
    00000606 => x"00100693",
618
    00000607 => x"00b67a63",
619
    00000608 => x"00c05863",
620
    00000609 => x"00161613",
621
    00000610 => x"00169693",
622
    00000611 => x"feb66ae3",
623
    00000612 => x"00000513",
624
    00000613 => x"00c5e663",
625
    00000614 => x"40c585b3",
626
    00000615 => x"00d56533",
627
    00000616 => x"0016d693",
628
    00000617 => x"00165613",
629
    00000618 => x"fe0696e3",
630
    00000619 => x"00008067",
631
    00000620 => x"00008293",
632
    00000621 => x"fb5ff0ef",
633
    00000622 => x"00058513",
634
    00000623 => x"00028067",
635
    00000624 => x"40a00533",
636
    00000625 => x"00b04863",
637
    00000626 => x"40b005b3",
638
    00000627 => x"f9dff06f",
639
    00000628 => x"40b005b3",
640
    00000629 => x"00008293",
641
    00000630 => x"f91ff0ef",
642
    00000631 => x"40a00533",
643
    00000632 => x"00028067",
644
    00000633 => x"00008293",
645
    00000634 => x"0005ca63",
646
    00000635 => x"00054c63",
647
    00000636 => x"f79ff0ef",
648
    00000637 => x"00058513",
649
    00000638 => x"00028067",
650
    00000639 => x"40b005b3",
651
    00000640 => x"fe0558e3",
652
    00000641 => x"40a00533",
653
    00000642 => x"f61ff0ef",
654
    00000643 => x"40b00533",
655
    00000644 => x"00028067",
656
    00000645 => x"6f727245",
657
    00000646 => x"4e202172",
658
    00000647 => x"5047206f",
659
    00000648 => x"75204f49",
660
    00000649 => x"2074696e",
661
    00000650 => x"746e7973",
662
    00000651 => x"69736568",
663
    00000652 => x"2164657a",
664
    00000653 => x"0000000a",
665
    00000654 => x"6e696c42",
666
    00000655 => x"676e696b",
667
    00000656 => x"44454c20",
668
    00000657 => x"6d656420",
669
    00000658 => x"7270206f",
670
    00000659 => x"6172676f",
671
    00000660 => x"00000a6d",
672
    00000661 => x"000002a8",
673
    00000662 => x"000002b4",
674
    00000663 => x"000002c0",
675
    00000664 => x"000002cc",
676
    00000665 => x"000002d8",
677
    00000666 => x"000002e0",
678
    00000667 => x"000002e8",
679
    00000668 => x"000002f0",
680
    00000669 => x"00000214",
681
    00000670 => x"00000214",
682
    00000671 => x"00000214",
683
    00000672 => x"000002f8",
684
    00000673 => x"00000300",
685
    00000674 => x"00000214",
686
    00000675 => x"00000214",
687
    00000676 => x"00000214",
688
    00000677 => x"00000308",
689
    00000678 => x"00000214",
690
    00000679 => x"00000214",
691
    00000680 => x"00000214",
692
    00000681 => x"00000310",
693
    00000682 => x"00000214",
694
    00000683 => x"00000214",
695
    00000684 => x"00000214",
696
    00000685 => x"00000214",
697
    00000686 => x"00000318",
698
    00000687 => x"00000320",
699
    00000688 => x"00000328",
700
    00000689 => x"00000330",
701
    00000690 => x"4554523c",
702
    00000691 => x"0000203e",
703
    00000692 => x"74736e49",
704
    00000693 => x"74637572",
705
    00000694 => x"206e6f69",
706
    00000695 => x"72646461",
707
    00000696 => x"20737365",
708
    00000697 => x"6173696d",
709
    00000698 => x"6e67696c",
710
    00000699 => x"00006465",
711
    00000700 => x"74736e49",
712
    00000701 => x"74637572",
713
    00000702 => x"206e6f69",
714
    00000703 => x"65636361",
715
    00000704 => x"66207373",
716
    00000705 => x"746c7561",
717
    00000706 => x"00000000",
718
    00000707 => x"656c6c49",
719
    00000708 => x"206c6167",
720
    00000709 => x"74736e69",
721
    00000710 => x"74637572",
722
    00000711 => x"006e6f69",
723
    00000712 => x"61657242",
724
    00000713 => x"696f706b",
725
    00000714 => x"0000746e",
726
    00000715 => x"64616f4c",
727
    00000716 => x"64646120",
728
    00000717 => x"73736572",
729
    00000718 => x"73696d20",
730
    00000719 => x"67696c61",
731
    00000720 => x"0064656e",
732
    00000721 => x"64616f4c",
733
    00000722 => x"63636120",
734
    00000723 => x"20737365",
735
    00000724 => x"6c756166",
736
    00000725 => x"00000074",
737
    00000726 => x"726f7453",
738
    00000727 => x"64612065",
739
    00000728 => x"73657264",
740
    00000729 => x"696d2073",
741
    00000730 => x"696c6173",
742
    00000731 => x"64656e67",
743
    00000732 => x"00000000",
744
    00000733 => x"726f7453",
745
    00000734 => x"63612065",
746
    00000735 => x"73736563",
747
    00000736 => x"75616620",
748
    00000737 => x"0000746c",
749
    00000738 => x"69766e45",
750
    00000739 => x"6d6e6f72",
751
    00000740 => x"20746e65",
752
    00000741 => x"6c6c6163",
753
    00000742 => x"00000000",
754
    00000743 => x"6863614d",
755
    00000744 => x"20656e69",
756
    00000745 => x"74666f73",
757
    00000746 => x"65726177",
758
    00000747 => x"746e6920",
759
    00000748 => x"75727265",
760
    00000749 => x"00007470",
761
    00000750 => x"6863614d",
762
    00000751 => x"20656e69",
763
    00000752 => x"656d6974",
764
    00000753 => x"6e692072",
765
    00000754 => x"72726574",
766
    00000755 => x"00747075",
767
    00000756 => x"6863614d",
768
    00000757 => x"20656e69",
769
    00000758 => x"65747865",
770
    00000759 => x"6c616e72",
771
    00000760 => x"746e6920",
772
    00000761 => x"75727265",
773
    00000762 => x"00007470",
774
    00000763 => x"74736146",
775
    00000764 => x"746e6920",
776
    00000765 => x"75727265",
777
    00000766 => x"30207470",
778 23 zero_gravi
    00000767 => x"44572820",
779
    00000768 => x"00002954",
780
    00000769 => x"74736146",
781
    00000770 => x"746e6920",
782
    00000771 => x"75727265",
783
    00000772 => x"31207470",
784
    00000773 => x"50472820",
785
    00000774 => x"00294f49",
786
    00000775 => x"74736146",
787
    00000776 => x"746e6920",
788
    00000777 => x"75727265",
789
    00000778 => x"32207470",
790
    00000779 => x"41552820",
791
    00000780 => x"00295452",
792
    00000781 => x"74736146",
793
    00000782 => x"746e6920",
794
    00000783 => x"75727265",
795
    00000784 => x"33207470",
796
    00000785 => x"50532820",
797
    00000786 => x"57542f49",
798
    00000787 => x"00002949",
799
    00000788 => x"6e6b6e55",
800
    00000789 => x"206e776f",
801
    00000790 => x"25783028",
802
    00000791 => x"00002978",
803
    00000792 => x"30204020",
804
    00000793 => x"2c782578",
805
    00000794 => x"56544d20",
806
    00000795 => x"303d4c41",
807
    00000796 => x"20782578",
808
    00000797 => x"54522f3c",
809
    00000798 => x"00003e45",
810
    00000799 => x"00000460",
811 22 zero_gravi
    00000800 => x"00000378",
812
    00000801 => x"00000378",
813 23 zero_gravi
    00000802 => x"00000378",
814
    00000803 => x"0000046c",
815 22 zero_gravi
    00000804 => x"00000378",
816
    00000805 => x"00000378",
817
    00000806 => x"00000378",
818 23 zero_gravi
    00000807 => x"00000478",
819
    00000808 => x"00000378",
820
    00000809 => x"00000378",
821
    00000810 => x"00000378",
822
    00000811 => x"00000378",
823
    00000812 => x"00000484",
824
    00000813 => x"00000490",
825
    00000814 => x"0000049c",
826
    00000815 => x"000004a8",
827
    00000816 => x"000003bc",
828
    00000817 => x"00000400",
829
    00000818 => x"0000040c",
830
    00000819 => x"00000418",
831
    00000820 => x"00000424",
832
    00000821 => x"00000430",
833
    00000822 => x"0000043c",
834
    00000823 => x"00000448",
835
    00000824 => x"00000378",
836
    00000825 => x"00000378",
837
    00000826 => x"00000378",
838
    00000827 => x"00000454",
839
    00000828 => x"33323130",
840
    00000829 => x"37363534",
841
    00000830 => x"00003938",
842
    00000831 => x"33323130",
843
    00000832 => x"37363534",
844
    00000833 => x"62613938",
845
    00000834 => x"66656463",
846
    00000835 => x"00000000",
847 2 zero_gravi
    others   => x"00000000"
848
  );
849
 
850
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.