OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 34

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 14 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9 34 zero_gravi
  type application_init_image_t is array (0 to 668) of std_ulogic_vector(31 downto 0);
10 2 zero_gravi
  constant application_init_image : application_init_image_t := (
11 14 zero_gravi
    00000000 => x"00000093",
12 22 zero_gravi
    00000001 => x"00000113",
13
    00000002 => x"00000193",
14
    00000003 => x"00000213",
15
    00000004 => x"00000293",
16
    00000005 => x"00000313",
17
    00000006 => x"00000393",
18
    00000007 => x"00000413",
19
    00000008 => x"00000493",
20 23 zero_gravi
    00000009 => x"00000713",
21
    00000010 => x"00000793",
22 32 zero_gravi
    00000011 => x"00000813",
23
    00000012 => x"00000893",
24
    00000013 => x"00000913",
25
    00000014 => x"00000993",
26
    00000015 => x"00000a13",
27
    00000016 => x"00000a93",
28
    00000017 => x"00000b13",
29
    00000018 => x"00000b93",
30
    00000019 => x"00000c13",
31
    00000020 => x"00000c93",
32
    00000021 => x"00000d13",
33
    00000022 => x"00000d93",
34
    00000023 => x"00000e13",
35
    00000024 => x"00000e93",
36
    00000025 => x"00000f13",
37
    00000026 => x"00000f93",
38
    00000027 => x"00002537",
39
    00000028 => x"80050513",
40
    00000029 => x"30051073",
41
    00000030 => x"30401073",
42
    00000031 => x"80002117",
43
    00000032 => x"f8010113",
44
    00000033 => x"ffc17113",
45
    00000034 => x"00010413",
46
    00000035 => x"80000197",
47
    00000036 => x"77418193",
48
    00000037 => x"00000597",
49
    00000038 => x"08058593",
50
    00000039 => x"30559073",
51
    00000040 => x"f8000593",
52
    00000041 => x"0005a023",
53
    00000042 => x"00458593",
54
    00000043 => x"feb01ce3",
55
    00000044 => x"80000597",
56
    00000045 => x"f5058593",
57
    00000046 => x"84018613",
58
    00000047 => x"00c5d863",
59
    00000048 => x"00058023",
60
    00000049 => x"00158593",
61
    00000050 => x"ff5ff06f",
62
    00000051 => x"00001597",
63 34 zero_gravi
    00000052 => x"9a458593",
64 32 zero_gravi
    00000053 => x"80000617",
65
    00000054 => x"f2c60613",
66
    00000055 => x"80000697",
67
    00000056 => x"f2468693",
68
    00000057 => x"00d65c63",
69
    00000058 => x"00058703",
70
    00000059 => x"00e60023",
71
    00000060 => x"00158593",
72
    00000061 => x"00160613",
73
    00000062 => x"fedff06f",
74
    00000063 => x"00000513",
75
    00000064 => x"00000593",
76
    00000065 => x"05c000ef",
77
    00000066 => x"30047073",
78
    00000067 => x"10500073",
79
    00000068 => x"0000006f",
80
    00000069 => x"ff810113",
81
    00000070 => x"00812023",
82
    00000071 => x"00912223",
83
    00000072 => x"34202473",
84
    00000073 => x"02044663",
85
    00000074 => x"34102473",
86
    00000075 => x"00041483",
87
    00000076 => x"0034f493",
88
    00000077 => x"00240413",
89
    00000078 => x"34141073",
90
    00000079 => x"00300413",
91
    00000080 => x"00941863",
92
    00000081 => x"34102473",
93
    00000082 => x"00240413",
94
    00000083 => x"34141073",
95
    00000084 => x"00012483",
96
    00000085 => x"00412403",
97
    00000086 => x"00810113",
98
    00000087 => x"30200073",
99
    00000088 => x"00005537",
100
    00000089 => x"ff010113",
101
    00000090 => x"00000613",
102
    00000091 => x"00000593",
103
    00000092 => x"b0050513",
104
    00000093 => x"00112623",
105
    00000094 => x"00812423",
106 33 zero_gravi
    00000095 => x"478000ef",
107
    00000096 => x"5a8000ef",
108
    00000097 => x"02050a63",
109
    00000098 => x"410000ef",
110
    00000099 => x"78800513",
111
    00000100 => x"500000ef",
112
    00000101 => x"00000513",
113
    00000102 => x"5a0000ef",
114
    00000103 => x"00000413",
115
    00000104 => x"0ff47513",
116
    00000105 => x"594000ef",
117
    00000106 => x"0c800513",
118
    00000107 => x"53c000ef",
119
    00000108 => x"00140413",
120
    00000109 => x"fedff06f",
121
    00000110 => x"76400513",
122
    00000111 => x"4d4000ef",
123
    00000112 => x"00c12083",
124
    00000113 => x"00812403",
125
    00000114 => x"00000513",
126
    00000115 => x"01010113",
127
    00000116 => x"00008067",
128
    00000117 => x"00000000",
129
    00000118 => x"00000000",
130 32 zero_gravi
    00000119 => x"00000000",
131
    00000120 => x"fc010113",
132
    00000121 => x"02112e23",
133
    00000122 => x"02512c23",
134
    00000123 => x"02612a23",
135
    00000124 => x"02712823",
136
    00000125 => x"02a12623",
137
    00000126 => x"02b12423",
138
    00000127 => x"02c12223",
139
    00000128 => x"02d12023",
140
    00000129 => x"00e12e23",
141
    00000130 => x"00f12c23",
142
    00000131 => x"01012a23",
143
    00000132 => x"01112823",
144
    00000133 => x"01c12623",
145
    00000134 => x"01d12423",
146
    00000135 => x"01e12223",
147
    00000136 => x"01f12023",
148
    00000137 => x"34102773",
149 33 zero_gravi
    00000138 => x"34071073",
150
    00000139 => x"342027f3",
151
    00000140 => x"0807c663",
152
    00000141 => x"00071683",
153
    00000142 => x"00300593",
154
    00000143 => x"0036f693",
155
    00000144 => x"00270613",
156
    00000145 => x"00b69463",
157
    00000146 => x"00470613",
158
    00000147 => x"34161073",
159
    00000148 => x"00b00713",
160
    00000149 => x"04f77a63",
161
    00000150 => x"3e800793",
162
    00000151 => x"000780e7",
163
    00000152 => x"03c12083",
164
    00000153 => x"03812283",
165
    00000154 => x"03412303",
166
    00000155 => x"03012383",
167
    00000156 => x"02c12503",
168
    00000157 => x"02812583",
169
    00000158 => x"02412603",
170
    00000159 => x"02012683",
171
    00000160 => x"01c12703",
172
    00000161 => x"01812783",
173
    00000162 => x"01412803",
174
    00000163 => x"01012883",
175
    00000164 => x"00c12e03",
176
    00000165 => x"00812e83",
177
    00000166 => x"00412f03",
178
    00000167 => x"00012f83",
179
    00000168 => x"04010113",
180
    00000169 => x"30200073",
181 32 zero_gravi
    00000170 => x"00279793",
182 33 zero_gravi
    00000171 => x"7a400713",
183 32 zero_gravi
    00000172 => x"00e787b3",
184
    00000173 => x"0007a783",
185
    00000174 => x"00078067",
186
    00000175 => x"80000737",
187
    00000176 => x"ffd74713",
188
    00000177 => x"00e787b3",
189
    00000178 => x"01000713",
190 33 zero_gravi
    00000179 => x"f8f766e3",
191
    00000180 => x"00279793",
192
    00000181 => x"7d400713",
193
    00000182 => x"00e787b3",
194
    00000183 => x"0007a783",
195
    00000184 => x"00078067",
196
    00000185 => x"800007b7",
197
    00000186 => x"0007a783",
198
    00000187 => x"f71ff06f",
199
    00000188 => x"800007b7",
200
    00000189 => x"0047a783",
201
    00000190 => x"f65ff06f",
202
    00000191 => x"800007b7",
203
    00000192 => x"0087a783",
204
    00000193 => x"f59ff06f",
205
    00000194 => x"800007b7",
206
    00000195 => x"00c7a783",
207
    00000196 => x"f4dff06f",
208
    00000197 => x"8101a783",
209
    00000198 => x"f45ff06f",
210
    00000199 => x"8141a783",
211
    00000200 => x"f3dff06f",
212
    00000201 => x"8181a783",
213
    00000202 => x"f35ff06f",
214
    00000203 => x"81c1a783",
215
    00000204 => x"f2dff06f",
216
    00000205 => x"8201a783",
217
    00000206 => x"f25ff06f",
218
    00000207 => x"8241a783",
219
    00000208 => x"f1dff06f",
220
    00000209 => x"8281a783",
221
    00000210 => x"f15ff06f",
222
    00000211 => x"82c1a783",
223
    00000212 => x"f0dff06f",
224
    00000213 => x"8301a783",
225
    00000214 => x"f05ff06f",
226
    00000215 => x"8341a783",
227
    00000216 => x"efdff06f",
228
    00000217 => x"8381a783",
229
    00000218 => x"ef5ff06f",
230
    00000219 => x"83c1a783",
231
    00000220 => x"eedff06f",
232
    00000221 => x"00000000",
233
    00000222 => x"fe010113",
234
    00000223 => x"01212823",
235
    00000224 => x"00050913",
236
    00000225 => x"00001537",
237
    00000226 => x"00912a23",
238
    00000227 => x"81850513",
239
    00000228 => x"000014b7",
240
    00000229 => x"00812c23",
241
    00000230 => x"01312623",
242
    00000231 => x"00112e23",
243
    00000232 => x"01c00413",
244
    00000233 => x"2ec000ef",
245 34 zero_gravi
    00000234 => x"a6048493",
246 33 zero_gravi
    00000235 => x"ffc00993",
247
    00000236 => x"008957b3",
248
    00000237 => x"00f7f793",
249
    00000238 => x"00f487b3",
250
    00000239 => x"0007c503",
251
    00000240 => x"ffc40413",
252
    00000241 => x"2bc000ef",
253
    00000242 => x"ff3414e3",
254
    00000243 => x"01c12083",
255
    00000244 => x"01812403",
256
    00000245 => x"01412483",
257
    00000246 => x"01012903",
258
    00000247 => x"00c12983",
259
    00000248 => x"02010113",
260
    00000249 => x"00008067",
261
    00000250 => x"00001537",
262
    00000251 => x"ff010113",
263
    00000252 => x"81c50513",
264
    00000253 => x"00112623",
265
    00000254 => x"00812423",
266
    00000255 => x"294000ef",
267
    00000256 => x"34202473",
268
    00000257 => x"00b00793",
269
    00000258 => x"0487f463",
270
    00000259 => x"800007b7",
271
    00000260 => x"ffd7c793",
272
    00000261 => x"00f407b3",
273
    00000262 => x"01000713",
274
    00000263 => x"00f77e63",
275
    00000264 => x"00001537",
276
    00000265 => x"99050513",
277
    00000266 => x"268000ef",
278
    00000267 => x"00040513",
279
    00000268 => x"f49ff0ef",
280
    00000269 => x"0400006f",
281
    00000270 => x"00001737",
282
    00000271 => x"00279793",
283 34 zero_gravi
    00000272 => x"9b870713",
284 33 zero_gravi
    00000273 => x"00e787b3",
285
    00000274 => x"0007a783",
286
    00000275 => x"00078067",
287
    00000276 => x"00001737",
288
    00000277 => x"00241793",
289 34 zero_gravi
    00000278 => x"9fc70713",
290 33 zero_gravi
    00000279 => x"00e787b3",
291
    00000280 => x"0007a783",
292
    00000281 => x"00078067",
293
    00000282 => x"00001537",
294
    00000283 => x"82450513",
295
    00000284 => x"220000ef",
296
    00000285 => x"00001537",
297 34 zero_gravi
    00000286 => x"9a850513",
298 33 zero_gravi
    00000287 => x"214000ef",
299
    00000288 => x"34002573",
300
    00000289 => x"ef5ff0ef",
301 32 zero_gravi
    00000290 => x"00001537",
302 34 zero_gravi
    00000291 => x"9ac50513",
303 33 zero_gravi
    00000292 => x"200000ef",
304
    00000293 => x"34302573",
305
    00000294 => x"ee1ff0ef",
306
    00000295 => x"00812403",
307
    00000296 => x"00c12083",
308
    00000297 => x"00001537",
309 34 zero_gravi
    00000298 => x"a5850513",
310 33 zero_gravi
    00000299 => x"01010113",
311
    00000300 => x"1e00006f",
312
    00000301 => x"00001537",
313
    00000302 => x"84450513",
314
    00000303 => x"fb5ff06f",
315
    00000304 => x"00001537",
316
    00000305 => x"86050513",
317
    00000306 => x"fa9ff06f",
318
    00000307 => x"00001537",
319
    00000308 => x"87450513",
320
    00000309 => x"f9dff06f",
321
    00000310 => x"00001537",
322
    00000311 => x"88050513",
323
    00000312 => x"f91ff06f",
324
    00000313 => x"00001537",
325
    00000314 => x"89850513",
326
    00000315 => x"f85ff06f",
327
    00000316 => x"00001537",
328
    00000317 => x"8ac50513",
329
    00000318 => x"f79ff06f",
330
    00000319 => x"00001537",
331
    00000320 => x"8c850513",
332
    00000321 => x"f6dff06f",
333
    00000322 => x"00001537",
334
    00000323 => x"8dc50513",
335
    00000324 => x"f61ff06f",
336
    00000325 => x"00001537",
337
    00000326 => x"8f050513",
338
    00000327 => x"f55ff06f",
339
    00000328 => x"00001537",
340
    00000329 => x"90c50513",
341
    00000330 => x"f49ff06f",
342
    00000331 => x"00001537",
343
    00000332 => x"92450513",
344
    00000333 => x"f3dff06f",
345
    00000334 => x"00001537",
346
    00000335 => x"94050513",
347
    00000336 => x"f31ff06f",
348 32 zero_gravi
    00000337 => x"00001537",
349 33 zero_gravi
    00000338 => x"95450513",
350
    00000339 => x"f25ff06f",
351
    00000340 => x"00001537",
352
    00000341 => x"96850513",
353
    00000342 => x"f19ff06f",
354
    00000343 => x"00001537",
355
    00000344 => x"97c50513",
356
    00000345 => x"f0dff06f",
357
    00000346 => x"00f00793",
358
    00000347 => x"02a7e263",
359
    00000348 => x"800007b7",
360
    00000349 => x"00078793",
361
    00000350 => x"00251513",
362
    00000351 => x"00a78533",
363
    00000352 => x"3e800793",
364
    00000353 => x"00f52023",
365
    00000354 => x"00000513",
366
    00000355 => x"00008067",
367
    00000356 => x"00100513",
368
    00000357 => x"00008067",
369
    00000358 => x"ff010113",
370
    00000359 => x"00112623",
371
    00000360 => x"00812423",
372
    00000361 => x"00912223",
373
    00000362 => x"301027f3",
374
    00000363 => x"00079863",
375
    00000364 => x"00001537",
376 34 zero_gravi
    00000365 => x"a2c50513",
377 33 zero_gravi
    00000366 => x"0d8000ef",
378
    00000367 => x"1e000793",
379
    00000368 => x"30579073",
380
    00000369 => x"00000413",
381
    00000370 => x"01000493",
382
    00000371 => x"00040513",
383
    00000372 => x"00140413",
384
    00000373 => x"0ff47413",
385
    00000374 => x"f91ff0ef",
386
    00000375 => x"fe9418e3",
387
    00000376 => x"00c12083",
388
    00000377 => x"00812403",
389
    00000378 => x"00412483",
390
    00000379 => x"01010113",
391
    00000380 => x"00008067",
392
    00000381 => x"fa002023",
393
    00000382 => x"fe002683",
394
    00000383 => x"00151513",
395
    00000384 => x"00000713",
396
    00000385 => x"04a6f263",
397
    00000386 => x"000016b7",
398
    00000387 => x"00000793",
399
    00000388 => x"ffe68693",
400
    00000389 => x"04e6e463",
401
    00000390 => x"00167613",
402
    00000391 => x"0015f593",
403
    00000392 => x"01879793",
404
    00000393 => x"01e61613",
405
    00000394 => x"00c7e7b3",
406
    00000395 => x"01d59593",
407
    00000396 => x"00b7e7b3",
408
    00000397 => x"00e7e7b3",
409
    00000398 => x"10000737",
410
    00000399 => x"00e7e7b3",
411
    00000400 => x"faf02023",
412
    00000401 => x"00008067",
413
    00000402 => x"00170793",
414
    00000403 => x"01079713",
415
    00000404 => x"40a686b3",
416
    00000405 => x"01075713",
417
    00000406 => x"fadff06f",
418
    00000407 => x"ffe78513",
419
    00000408 => x"0fd57513",
420
    00000409 => x"00051a63",
421
    00000410 => x"00375713",
422
    00000411 => x"00178793",
423
    00000412 => x"0ff7f793",
424
    00000413 => x"fa1ff06f",
425
    00000414 => x"00175713",
426
    00000415 => x"ff1ff06f",
427
    00000416 => x"fa002783",
428
    00000417 => x"fe07cee3",
429
    00000418 => x"faa02223",
430
    00000419 => x"00008067",
431
    00000420 => x"ff010113",
432
    00000421 => x"00812423",
433
    00000422 => x"01212023",
434
    00000423 => x"00112623",
435
    00000424 => x"00912223",
436
    00000425 => x"00050413",
437
    00000426 => x"00a00913",
438
    00000427 => x"00044483",
439
    00000428 => x"00140413",
440
    00000429 => x"00049e63",
441
    00000430 => x"00c12083",
442
    00000431 => x"00812403",
443
    00000432 => x"00412483",
444
    00000433 => x"00012903",
445
    00000434 => x"01010113",
446
    00000435 => x"00008067",
447
    00000436 => x"01249663",
448
    00000437 => x"00d00513",
449
    00000438 => x"fa9ff0ef",
450
    00000439 => x"00048513",
451
    00000440 => x"fa1ff0ef",
452
    00000441 => x"fc9ff06f",
453
    00000442 => x"00050593",
454
    00000443 => x"fe002503",
455
    00000444 => x"ff010113",
456
    00000445 => x"00112623",
457
    00000446 => x"00f55513",
458
    00000447 => x"044000ef",
459
    00000448 => x"00051863",
460
    00000449 => x"00c12083",
461
    00000450 => x"01010113",
462
    00000451 => x"00008067",
463
    00000452 => x"00000013",
464
    00000453 => x"00000013",
465
    00000454 => x"00000013",
466
    00000455 => x"00000013",
467
    00000456 => x"fff50513",
468
    00000457 => x"fddff06f",
469
    00000458 => x"fe802503",
470
    00000459 => x"01055513",
471
    00000460 => x"00157513",
472
    00000461 => x"00008067",
473
    00000462 => x"f8a02223",
474
    00000463 => x"00008067",
475
    00000464 => x"00050613",
476
    00000465 => x"00000513",
477
    00000466 => x"0015f693",
478
    00000467 => x"00068463",
479
    00000468 => x"00c50533",
480
    00000469 => x"0015d593",
481
    00000470 => x"00161613",
482
    00000471 => x"fe0596e3",
483
    00000472 => x"00008067",
484
    00000473 => x"6f727245",
485
    00000474 => x"4e202172",
486
    00000475 => x"5047206f",
487
    00000476 => x"75204f49",
488
    00000477 => x"2074696e",
489
    00000478 => x"746e7973",
490
    00000479 => x"69736568",
491
    00000480 => x"2164657a",
492
    00000481 => x"0000000a",
493
    00000482 => x"6e696c42",
494
    00000483 => x"676e696b",
495
    00000484 => x"44454c20",
496
    00000485 => x"6d656420",
497
    00000486 => x"7270206f",
498
    00000487 => x"6172676f",
499
    00000488 => x"00000a6d",
500
    00000489 => x"000002e4",
501
    00000490 => x"000002f0",
502
    00000491 => x"000002fc",
503
    00000492 => x"00000308",
504
    00000493 => x"00000314",
505
    00000494 => x"0000031c",
506
    00000495 => x"00000324",
507
    00000496 => x"0000032c",
508
    00000497 => x"00000258",
509
    00000498 => x"00000258",
510
    00000499 => x"00000258",
511
    00000500 => x"00000334",
512
    00000501 => x"0000033c",
513
    00000502 => x"00000258",
514
    00000503 => x"00000258",
515
    00000504 => x"00000258",
516
    00000505 => x"00000344",
517
    00000506 => x"00000258",
518
    00000507 => x"00000258",
519
    00000508 => x"00000258",
520
    00000509 => x"0000034c",
521
    00000510 => x"00000258",
522
    00000511 => x"00000258",
523
    00000512 => x"00000258",
524
    00000513 => x"00000258",
525
    00000514 => x"00000354",
526
    00000515 => x"0000035c",
527
    00000516 => x"00000364",
528
    00000517 => x"0000036c",
529
    00000518 => x"00007830",
530
    00000519 => x"4554523c",
531
    00000520 => x"0000203e",
532
    00000521 => x"74736e49",
533
    00000522 => x"74637572",
534
    00000523 => x"206e6f69",
535
    00000524 => x"72646461",
536
    00000525 => x"20737365",
537
    00000526 => x"6173696d",
538
    00000527 => x"6e67696c",
539
    00000528 => x"00006465",
540
    00000529 => x"74736e49",
541
    00000530 => x"74637572",
542
    00000531 => x"206e6f69",
543
    00000532 => x"65636361",
544
    00000533 => x"66207373",
545
    00000534 => x"746c7561",
546
    00000535 => x"00000000",
547
    00000536 => x"656c6c49",
548
    00000537 => x"206c6167",
549
    00000538 => x"74736e69",
550
    00000539 => x"74637572",
551
    00000540 => x"006e6f69",
552
    00000541 => x"61657242",
553
    00000542 => x"696f706b",
554
    00000543 => x"0000746e",
555
    00000544 => x"64616f4c",
556
    00000545 => x"64646120",
557
    00000546 => x"73736572",
558
    00000547 => x"73696d20",
559
    00000548 => x"67696c61",
560
    00000549 => x"0064656e",
561
    00000550 => x"64616f4c",
562
    00000551 => x"63636120",
563
    00000552 => x"20737365",
564
    00000553 => x"6c756166",
565
    00000554 => x"00000074",
566
    00000555 => x"726f7453",
567
    00000556 => x"64612065",
568
    00000557 => x"73657264",
569
    00000558 => x"696d2073",
570
    00000559 => x"696c6173",
571
    00000560 => x"64656e67",
572
    00000561 => x"00000000",
573
    00000562 => x"726f7453",
574
    00000563 => x"63612065",
575
    00000564 => x"73736563",
576
    00000565 => x"75616620",
577
    00000566 => x"0000746c",
578
    00000567 => x"69766e45",
579
    00000568 => x"6d6e6f72",
580
    00000569 => x"20746e65",
581
    00000570 => x"6c6c6163",
582
    00000571 => x"00000000",
583
    00000572 => x"6863614d",
584
    00000573 => x"20656e69",
585
    00000574 => x"74666f73",
586
    00000575 => x"65726177",
587
    00000576 => x"746e6920",
588
    00000577 => x"75727265",
589
    00000578 => x"00007470",
590
    00000579 => x"6863614d",
591
    00000580 => x"20656e69",
592
    00000581 => x"656d6974",
593
    00000582 => x"6e692072",
594
    00000583 => x"72726574",
595
    00000584 => x"00747075",
596
    00000585 => x"6863614d",
597
    00000586 => x"20656e69",
598
    00000587 => x"65747865",
599
    00000588 => x"6c616e72",
600
    00000589 => x"746e6920",
601
    00000590 => x"75727265",
602
    00000591 => x"00007470",
603
    00000592 => x"74736146",
604
    00000593 => x"746e6920",
605
    00000594 => x"75727265",
606
    00000595 => x"30207470",
607
    00000596 => x"00000000",
608
    00000597 => x"74736146",
609
    00000598 => x"746e6920",
610
    00000599 => x"75727265",
611
    00000600 => x"31207470",
612
    00000601 => x"00000000",
613
    00000602 => x"74736146",
614
    00000603 => x"746e6920",
615
    00000604 => x"75727265",
616
    00000605 => x"32207470",
617
    00000606 => x"00000000",
618
    00000607 => x"74736146",
619
    00000608 => x"746e6920",
620
    00000609 => x"75727265",
621
    00000610 => x"33207470",
622
    00000611 => x"00000000",
623
    00000612 => x"6e6b6e55",
624
    00000613 => x"206e776f",
625 34 zero_gravi
    00000614 => x"70617274",
626
    00000615 => x"75616320",
627
    00000616 => x"203a6573",
628
    00000617 => x"00000000",
629
    00000618 => x"00204020",
630
    00000619 => x"544d202c",
631
    00000620 => x"3d4c4156",
632
    00000621 => x"00000000",
633
    00000622 => x"00000514",
634
    00000623 => x"00000420",
635 33 zero_gravi
    00000624 => x"00000420",
636
    00000625 => x"00000420",
637 34 zero_gravi
    00000626 => x"00000520",
638
    00000627 => x"00000420",
639 33 zero_gravi
    00000628 => x"00000420",
640
    00000629 => x"00000420",
641 34 zero_gravi
    00000630 => x"0000052c",
642 33 zero_gravi
    00000631 => x"00000420",
643 34 zero_gravi
    00000632 => x"00000420",
644
    00000633 => x"00000420",
645
    00000634 => x"00000420",
646
    00000635 => x"00000538",
647
    00000636 => x"00000544",
648
    00000637 => x"00000550",
649
    00000638 => x"0000055c",
650
    00000639 => x"00000468",
651
    00000640 => x"000004b4",
652
    00000641 => x"000004c0",
653
    00000642 => x"000004cc",
654
    00000643 => x"000004d8",
655
    00000644 => x"000004e4",
656
    00000645 => x"000004f0",
657
    00000646 => x"000004fc",
658
    00000647 => x"00000420",
659
    00000648 => x"00000420",
660
    00000649 => x"00000420",
661
    00000650 => x"00000508",
662
    00000651 => x"4554523c",
663
    00000652 => x"4157203e",
664
    00000653 => x"4e494e52",
665
    00000654 => x"43202147",
666
    00000655 => x"43205550",
667
    00000656 => x"73205253",
668
    00000657 => x"65747379",
669
    00000658 => x"6f6e206d",
670
    00000659 => x"76612074",
671
    00000660 => x"616c6961",
672
    00000661 => x"21656c62",
673
    00000662 => x"522f3c20",
674
    00000663 => x"003e4554",
675
    00000664 => x"33323130",
676
    00000665 => x"37363534",
677
    00000666 => x"42413938",
678
    00000667 => x"46454443",
679 2 zero_gravi
    others   => x"00000000"
680
  );
681
 
682
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.