OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 39 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9 40 zero_gravi
  type application_init_image_t is array (0 to 800) of std_ulogic_vector(31 downto 0);
10 2 zero_gravi
  constant application_init_image : application_init_image_t := (
11 14 zero_gravi
    00000000 => x"00000093",
12 22 zero_gravi
    00000001 => x"00000113",
13
    00000002 => x"00000193",
14
    00000003 => x"00000213",
15
    00000004 => x"00000293",
16
    00000005 => x"00000313",
17
    00000006 => x"00000393",
18
    00000007 => x"00000413",
19
    00000008 => x"00000493",
20 23 zero_gravi
    00000009 => x"00000713",
21
    00000010 => x"00000793",
22 32 zero_gravi
    00000011 => x"00000813",
23
    00000012 => x"00000893",
24
    00000013 => x"00000913",
25
    00000014 => x"00000993",
26
    00000015 => x"00000a13",
27
    00000016 => x"00000a93",
28
    00000017 => x"00000b13",
29
    00000018 => x"00000b93",
30
    00000019 => x"00000c13",
31
    00000020 => x"00000c93",
32
    00000021 => x"00000d13",
33
    00000022 => x"00000d93",
34
    00000023 => x"00000e13",
35
    00000024 => x"00000e93",
36
    00000025 => x"00000f13",
37
    00000026 => x"00000f93",
38 39 zero_gravi
    00000027 => x"00002537",
39
    00000028 => x"80050513",
40
    00000029 => x"30051073",
41
    00000030 => x"30401073",
42
    00000031 => x"80002117",
43
    00000032 => x"f8010113",
44
    00000033 => x"ffc17113",
45
    00000034 => x"00010413",
46
    00000035 => x"80000197",
47
    00000036 => x"77418193",
48
    00000037 => x"00000597",
49 40 zero_gravi
    00000038 => x"09c58593",
50 39 zero_gravi
    00000039 => x"30559073",
51
    00000040 => x"f8000593",
52
    00000041 => x"0005a023",
53
    00000042 => x"00458593",
54
    00000043 => x"feb01ce3",
55
    00000044 => x"80000597",
56
    00000045 => x"f5058593",
57 40 zero_gravi
    00000046 => x"84418613",
58 39 zero_gravi
    00000047 => x"00c5d863",
59
    00000048 => x"00058023",
60
    00000049 => x"00158593",
61
    00000050 => x"ff5ff06f",
62
    00000051 => x"00001597",
63 40 zero_gravi
    00000052 => x"bb458593",
64 39 zero_gravi
    00000053 => x"80000617",
65
    00000054 => x"f2c60613",
66
    00000055 => x"80000697",
67
    00000056 => x"f2468693",
68
    00000057 => x"00d65c63",
69
    00000058 => x"00058703",
70
    00000059 => x"00e60023",
71
    00000060 => x"00158593",
72
    00000061 => x"00160613",
73
    00000062 => x"fedff06f",
74
    00000063 => x"00000513",
75
    00000064 => x"00000593",
76
    00000065 => x"b0001073",
77
    00000066 => x"b8001073",
78
    00000067 => x"b0201073",
79
    00000068 => x"b8201073",
80 40 zero_gravi
    00000069 => x"80000637",
81
    00000070 => x"34261073",
82
    00000071 => x"060000ef",
83
    00000072 => x"30047073",
84
    00000073 => x"00000013",
85
    00000074 => x"10500073",
86
    00000075 => x"0000006f",
87
    00000076 => x"ff810113",
88
    00000077 => x"00812023",
89
    00000078 => x"00912223",
90
    00000079 => x"34202473",
91
    00000080 => x"02044663",
92
    00000081 => x"34102473",
93
    00000082 => x"00041483",
94
    00000083 => x"0034f493",
95
    00000084 => x"00240413",
96
    00000085 => x"34141073",
97
    00000086 => x"00300413",
98
    00000087 => x"00941863",
99
    00000088 => x"34102473",
100
    00000089 => x"00240413",
101
    00000090 => x"34141073",
102
    00000091 => x"00012483",
103
    00000092 => x"00412403",
104
    00000093 => x"00810113",
105
    00000094 => x"30200073",
106
    00000095 => x"00005537",
107
    00000096 => x"ff010113",
108
    00000097 => x"00000613",
109
    00000098 => x"00000593",
110
    00000099 => x"b0050513",
111
    00000100 => x"00112623",
112
    00000101 => x"4a0000ef",
113
    00000102 => x"62c000ef",
114
    00000103 => x"00050c63",
115
    00000104 => x"438000ef",
116
    00000105 => x"00001537",
117
    00000106 => x"96850513",
118
    00000107 => x"524000ef",
119
    00000108 => x"020000ef",
120
    00000109 => x"00001537",
121
    00000110 => x"94450513",
122
    00000111 => x"514000ef",
123
    00000112 => x"00c12083",
124
    00000113 => x"00000513",
125
    00000114 => x"01010113",
126
    00000115 => x"00008067",
127
    00000116 => x"ff010113",
128
    00000117 => x"00000513",
129
    00000118 => x"00812423",
130
    00000119 => x"00112623",
131
    00000120 => x"00000413",
132
    00000121 => x"5f0000ef",
133
    00000122 => x"0ff47513",
134
    00000123 => x"5e8000ef",
135
    00000124 => x"0c800513",
136
    00000125 => x"560000ef",
137
    00000126 => x"00140413",
138
    00000127 => x"fedff06f",
139 39 zero_gravi
    00000128 => x"fc010113",
140
    00000129 => x"02112e23",
141
    00000130 => x"02512c23",
142
    00000131 => x"02612a23",
143
    00000132 => x"02712823",
144
    00000133 => x"02a12623",
145
    00000134 => x"02b12423",
146
    00000135 => x"02c12223",
147
    00000136 => x"02d12023",
148
    00000137 => x"00e12e23",
149
    00000138 => x"00f12c23",
150
    00000139 => x"01012a23",
151
    00000140 => x"01112823",
152
    00000141 => x"01c12623",
153
    00000142 => x"01d12423",
154
    00000143 => x"01e12223",
155
    00000144 => x"01f12023",
156
    00000145 => x"34102773",
157
    00000146 => x"34071073",
158
    00000147 => x"342027f3",
159
    00000148 => x"0807c863",
160
    00000149 => x"00071683",
161
    00000150 => x"00300593",
162
    00000151 => x"0036f693",
163
    00000152 => x"00270613",
164
    00000153 => x"00b69463",
165
    00000154 => x"00470613",
166
    00000155 => x"34161073",
167
    00000156 => x"00b00713",
168
    00000157 => x"04f77a63",
169 40 zero_gravi
    00000158 => x"41c00793",
170 39 zero_gravi
    00000159 => x"000780e7",
171
    00000160 => x"03c12083",
172
    00000161 => x"03812283",
173
    00000162 => x"03412303",
174
    00000163 => x"03012383",
175
    00000164 => x"02c12503",
176
    00000165 => x"02812583",
177
    00000166 => x"02412603",
178
    00000167 => x"02012683",
179
    00000168 => x"01c12703",
180
    00000169 => x"01812783",
181
    00000170 => x"01412803",
182
    00000171 => x"01012883",
183
    00000172 => x"00c12e03",
184
    00000173 => x"00812e83",
185
    00000174 => x"00412f03",
186
    00000175 => x"00012f83",
187
    00000176 => x"04010113",
188
    00000177 => x"30200073",
189
    00000178 => x"00001737",
190
    00000179 => x"00279793",
191 40 zero_gravi
    00000180 => x"98470713",
192 39 zero_gravi
    00000181 => x"00e787b3",
193
    00000182 => x"0007a783",
194
    00000183 => x"00078067",
195
    00000184 => x"80000737",
196
    00000185 => x"ffd74713",
197
    00000186 => x"00e787b3",
198
    00000187 => x"01000713",
199
    00000188 => x"f8f764e3",
200
    00000189 => x"00001737",
201
    00000190 => x"00279793",
202 40 zero_gravi
    00000191 => x"9b470713",
203 39 zero_gravi
    00000192 => x"00e787b3",
204
    00000193 => x"0007a783",
205
    00000194 => x"00078067",
206
    00000195 => x"800007b7",
207
    00000196 => x"0007a783",
208
    00000197 => x"f69ff06f",
209
    00000198 => x"800007b7",
210
    00000199 => x"0047a783",
211
    00000200 => x"f5dff06f",
212
    00000201 => x"800007b7",
213
    00000202 => x"0087a783",
214
    00000203 => x"f51ff06f",
215
    00000204 => x"800007b7",
216
    00000205 => x"00c7a783",
217
    00000206 => x"f45ff06f",
218
    00000207 => x"8101a783",
219
    00000208 => x"f3dff06f",
220
    00000209 => x"8141a783",
221
    00000210 => x"f35ff06f",
222
    00000211 => x"8181a783",
223
    00000212 => x"f2dff06f",
224
    00000213 => x"81c1a783",
225
    00000214 => x"f25ff06f",
226
    00000215 => x"8201a783",
227
    00000216 => x"f1dff06f",
228
    00000217 => x"8241a783",
229
    00000218 => x"f15ff06f",
230
    00000219 => x"8281a783",
231
    00000220 => x"f0dff06f",
232
    00000221 => x"82c1a783",
233
    00000222 => x"f05ff06f",
234
    00000223 => x"8301a783",
235
    00000224 => x"efdff06f",
236
    00000225 => x"8341a783",
237
    00000226 => x"ef5ff06f",
238
    00000227 => x"8381a783",
239
    00000228 => x"eedff06f",
240
    00000229 => x"83c1a783",
241
    00000230 => x"ee5ff06f",
242 40 zero_gravi
    00000231 => x"8401a783",
243
    00000232 => x"eddff06f",
244
    00000233 => x"00000000",
245
    00000234 => x"00000000",
246
    00000235 => x"fe010113",
247
    00000236 => x"01212823",
248
    00000237 => x"00050913",
249
    00000238 => x"00001537",
250
    00000239 => x"00912a23",
251
    00000240 => x"9f850513",
252
    00000241 => x"000014b7",
253
    00000242 => x"00812c23",
254
    00000243 => x"01312623",
255
    00000244 => x"00112e23",
256
    00000245 => x"01c00413",
257
    00000246 => x"2f8000ef",
258
    00000247 => x"c7048493",
259
    00000248 => x"ffc00993",
260
    00000249 => x"008957b3",
261
    00000250 => x"00f7f793",
262
    00000251 => x"00f487b3",
263
    00000252 => x"0007c503",
264
    00000253 => x"ffc40413",
265
    00000254 => x"2c8000ef",
266
    00000255 => x"ff3414e3",
267
    00000256 => x"01c12083",
268
    00000257 => x"01812403",
269
    00000258 => x"01412483",
270
    00000259 => x"01012903",
271
    00000260 => x"00c12983",
272
    00000261 => x"02010113",
273
    00000262 => x"00008067",
274
    00000263 => x"00001537",
275
    00000264 => x"ff010113",
276
    00000265 => x"9fc50513",
277
    00000266 => x"00112623",
278
    00000267 => x"00812423",
279
    00000268 => x"2a0000ef",
280
    00000269 => x"34202473",
281
    00000270 => x"00b00793",
282
    00000271 => x"0487f463",
283
    00000272 => x"800007b7",
284
    00000273 => x"ffd7c793",
285
    00000274 => x"00f407b3",
286
    00000275 => x"01000713",
287
    00000276 => x"00f77e63",
288
    00000277 => x"00001537",
289
    00000278 => x"b9c50513",
290
    00000279 => x"274000ef",
291
    00000280 => x"00040513",
292
    00000281 => x"f49ff0ef",
293
    00000282 => x"0400006f",
294
    00000283 => x"00001737",
295
    00000284 => x"00279793",
296
    00000285 => x"bc870713",
297
    00000286 => x"00e787b3",
298
    00000287 => x"0007a783",
299
    00000288 => x"00078067",
300
    00000289 => x"00001737",
301
    00000290 => x"00241793",
302
    00000291 => x"c0c70713",
303
    00000292 => x"00e787b3",
304
    00000293 => x"0007a783",
305
    00000294 => x"00078067",
306 39 zero_gravi
    00000295 => x"00001537",
307 40 zero_gravi
    00000296 => x"a0450513",
308
    00000297 => x"22c000ef",
309
    00000298 => x"00001537",
310
    00000299 => x"bb450513",
311
    00000300 => x"220000ef",
312
    00000301 => x"34002573",
313
    00000302 => x"ef5ff0ef",
314
    00000303 => x"00001537",
315
    00000304 => x"bbc50513",
316
    00000305 => x"20c000ef",
317
    00000306 => x"34302573",
318
    00000307 => x"ee1ff0ef",
319
    00000308 => x"00812403",
320
    00000309 => x"00c12083",
321
    00000310 => x"00001537",
322
    00000311 => x"c6850513",
323
    00000312 => x"01010113",
324
    00000313 => x"1ec0006f",
325 39 zero_gravi
    00000314 => x"00001537",
326 40 zero_gravi
    00000315 => x"a2450513",
327
    00000316 => x"fb5ff06f",
328 39 zero_gravi
    00000317 => x"00001537",
329 40 zero_gravi
    00000318 => x"a4050513",
330
    00000319 => x"fa9ff06f",
331 39 zero_gravi
    00000320 => x"00001537",
332 40 zero_gravi
    00000321 => x"a5450513",
333
    00000322 => x"f9dff06f",
334 39 zero_gravi
    00000323 => x"00001537",
335
    00000324 => x"a6050513",
336 40 zero_gravi
    00000325 => x"f91ff06f",
337 39 zero_gravi
    00000326 => x"00001537",
338 40 zero_gravi
    00000327 => x"a7850513",
339
    00000328 => x"f85ff06f",
340 39 zero_gravi
    00000329 => x"00001537",
341 40 zero_gravi
    00000330 => x"a8c50513",
342
    00000331 => x"f79ff06f",
343 39 zero_gravi
    00000332 => x"00001537",
344 40 zero_gravi
    00000333 => x"aa850513",
345
    00000334 => x"f6dff06f",
346 39 zero_gravi
    00000335 => x"00001537",
347 40 zero_gravi
    00000336 => x"abc50513",
348
    00000337 => x"f61ff06f",
349 39 zero_gravi
    00000338 => x"00001537",
350 40 zero_gravi
    00000339 => x"adc50513",
351
    00000340 => x"f55ff06f",
352 39 zero_gravi
    00000341 => x"00001537",
353 40 zero_gravi
    00000342 => x"afc50513",
354
    00000343 => x"f49ff06f",
355 39 zero_gravi
    00000344 => x"00001537",
356 40 zero_gravi
    00000345 => x"b1850513",
357
    00000346 => x"f3dff06f",
358 39 zero_gravi
    00000347 => x"00001537",
359 40 zero_gravi
    00000348 => x"b3050513",
360
    00000349 => x"f31ff06f",
361 39 zero_gravi
    00000350 => x"00001537",
362 40 zero_gravi
    00000351 => x"b4c50513",
363
    00000352 => x"f25ff06f",
364 39 zero_gravi
    00000353 => x"00001537",
365 40 zero_gravi
    00000354 => x"b6050513",
366
    00000355 => x"f19ff06f",
367
    00000356 => x"00001537",
368
    00000357 => x"b7450513",
369
    00000358 => x"f0dff06f",
370
    00000359 => x"00001537",
371
    00000360 => x"b8850513",
372
    00000361 => x"f01ff06f",
373
    00000362 => x"01000793",
374
    00000363 => x"02a7e263",
375
    00000364 => x"800007b7",
376
    00000365 => x"00078793",
377
    00000366 => x"00251513",
378
    00000367 => x"00a78533",
379
    00000368 => x"41c00793",
380
    00000369 => x"00f52023",
381
    00000370 => x"00000513",
382
    00000371 => x"00008067",
383
    00000372 => x"00100513",
384
    00000373 => x"00008067",
385
    00000374 => x"ff010113",
386
    00000375 => x"00112623",
387
    00000376 => x"00812423",
388
    00000377 => x"00912223",
389
    00000378 => x"301027f3",
390
    00000379 => x"00079863",
391
    00000380 => x"00001537",
392
    00000381 => x"c3c50513",
393
    00000382 => x"0d8000ef",
394
    00000383 => x"20000793",
395
    00000384 => x"30579073",
396
    00000385 => x"00000413",
397
    00000386 => x"01100493",
398
    00000387 => x"00040513",
399
    00000388 => x"00140413",
400
    00000389 => x"0ff47413",
401
    00000390 => x"f91ff0ef",
402
    00000391 => x"fe9418e3",
403
    00000392 => x"00c12083",
404
    00000393 => x"00812403",
405
    00000394 => x"00412483",
406
    00000395 => x"01010113",
407
    00000396 => x"00008067",
408
    00000397 => x"fa002023",
409
    00000398 => x"fe002683",
410
    00000399 => x"00151513",
411
    00000400 => x"00000713",
412
    00000401 => x"04a6f263",
413
    00000402 => x"000016b7",
414
    00000403 => x"00000793",
415
    00000404 => x"ffe68693",
416
    00000405 => x"04e6e463",
417
    00000406 => x"00167613",
418
    00000407 => x"0015f593",
419
    00000408 => x"01879793",
420
    00000409 => x"01e61613",
421
    00000410 => x"00c7e7b3",
422
    00000411 => x"01d59593",
423
    00000412 => x"00b7e7b3",
424
    00000413 => x"00e7e7b3",
425
    00000414 => x"10000737",
426
    00000415 => x"00e7e7b3",
427
    00000416 => x"faf02023",
428
    00000417 => x"00008067",
429
    00000418 => x"00170793",
430
    00000419 => x"01079713",
431
    00000420 => x"40a686b3",
432
    00000421 => x"01075713",
433
    00000422 => x"fadff06f",
434
    00000423 => x"ffe78513",
435
    00000424 => x"0fd57513",
436
    00000425 => x"00051a63",
437
    00000426 => x"00375713",
438
    00000427 => x"00178793",
439
    00000428 => x"0ff7f793",
440
    00000429 => x"fa1ff06f",
441
    00000430 => x"00175713",
442
    00000431 => x"ff1ff06f",
443
    00000432 => x"fa002783",
444
    00000433 => x"fe07cee3",
445
    00000434 => x"faa02223",
446
    00000435 => x"00008067",
447
    00000436 => x"ff010113",
448
    00000437 => x"00812423",
449
    00000438 => x"01212023",
450
    00000439 => x"00112623",
451
    00000440 => x"00912223",
452
    00000441 => x"00050413",
453
    00000442 => x"00a00913",
454
    00000443 => x"00044483",
455
    00000444 => x"00140413",
456
    00000445 => x"00049e63",
457
    00000446 => x"00c12083",
458
    00000447 => x"00812403",
459
    00000448 => x"00412483",
460
    00000449 => x"00012903",
461
    00000450 => x"01010113",
462
    00000451 => x"00008067",
463
    00000452 => x"01249663",
464
    00000453 => x"00d00513",
465
    00000454 => x"fa9ff0ef",
466
    00000455 => x"00048513",
467
    00000456 => x"fa1ff0ef",
468
    00000457 => x"fc9ff06f",
469
    00000458 => x"ff010113",
470
    00000459 => x"c80026f3",
471
    00000460 => x"c0002773",
472
    00000461 => x"c80027f3",
473
    00000462 => x"fed79ae3",
474
    00000463 => x"00e12023",
475
    00000464 => x"00f12223",
476
    00000465 => x"00012503",
477
    00000466 => x"00412583",
478
    00000467 => x"01010113",
479
    00000468 => x"00008067",
480
    00000469 => x"fe010113",
481
    00000470 => x"00112e23",
482
    00000471 => x"00812c23",
483
    00000472 => x"00912a23",
484
    00000473 => x"00a12623",
485
    00000474 => x"fc1ff0ef",
486
    00000475 => x"00050493",
487
    00000476 => x"fe002503",
488
    00000477 => x"00058413",
489
    00000478 => x"3e800593",
490
    00000479 => x"0f8000ef",
491
    00000480 => x"00c12603",
492
    00000481 => x"00000693",
493
    00000482 => x"00000593",
494
    00000483 => x"050000ef",
495
    00000484 => x"009504b3",
496
    00000485 => x"00a4b533",
497
    00000486 => x"00858433",
498
    00000487 => x"00850433",
499
    00000488 => x"f89ff0ef",
500
    00000489 => x"fe85eee3",
501
    00000490 => x"00b41463",
502
    00000491 => x"fe956ae3",
503
    00000492 => x"01c12083",
504
    00000493 => x"01812403",
505
    00000494 => x"01412483",
506
    00000495 => x"02010113",
507 39 zero_gravi
    00000496 => x"00008067",
508 40 zero_gravi
    00000497 => x"fe802503",
509
    00000498 => x"01055513",
510
    00000499 => x"00157513",
511
    00000500 => x"00008067",
512
    00000501 => x"f8a02223",
513
    00000502 => x"00008067",
514
    00000503 => x"00050313",
515
    00000504 => x"ff010113",
516
    00000505 => x"00060513",
517
    00000506 => x"00068893",
518
    00000507 => x"00112623",
519
    00000508 => x"00030613",
520
    00000509 => x"00050693",
521
    00000510 => x"00000713",
522
    00000511 => x"00000793",
523
    00000512 => x"00000813",
524
    00000513 => x"0016fe13",
525
    00000514 => x"00171e93",
526
    00000515 => x"000e0c63",
527
    00000516 => x"01060e33",
528
    00000517 => x"010e3833",
529
    00000518 => x"00e787b3",
530
    00000519 => x"00f807b3",
531
    00000520 => x"000e0813",
532
    00000521 => x"01f65713",
533
    00000522 => x"0016d693",
534
    00000523 => x"00eee733",
535
    00000524 => x"00161613",
536
    00000525 => x"fc0698e3",
537
    00000526 => x"00058663",
538
    00000527 => x"0e4000ef",
539
    00000528 => x"00a787b3",
540
    00000529 => x"00088a63",
541
    00000530 => x"00030513",
542
    00000531 => x"00088593",
543
    00000532 => x"0d0000ef",
544
    00000533 => x"00f507b3",
545
    00000534 => x"00c12083",
546
    00000535 => x"00080513",
547
    00000536 => x"00078593",
548
    00000537 => x"01010113",
549
    00000538 => x"00008067",
550
    00000539 => x"06054063",
551
    00000540 => x"0605c663",
552
    00000541 => x"00058613",
553
    00000542 => x"00050593",
554
    00000543 => x"fff00513",
555
    00000544 => x"02060c63",
556
    00000545 => x"00100693",
557
    00000546 => x"00b67a63",
558
    00000547 => x"00c05863",
559
    00000548 => x"00161613",
560
    00000549 => x"00169693",
561
    00000550 => x"feb66ae3",
562
    00000551 => x"00000513",
563
    00000552 => x"00c5e663",
564
    00000553 => x"40c585b3",
565
    00000554 => x"00d56533",
566
    00000555 => x"0016d693",
567
    00000556 => x"00165613",
568
    00000557 => x"fe0696e3",
569
    00000558 => x"00008067",
570
    00000559 => x"00008293",
571
    00000560 => x"fb5ff0ef",
572
    00000561 => x"00058513",
573
    00000562 => x"00028067",
574
    00000563 => x"40a00533",
575
    00000564 => x"00b04863",
576
    00000565 => x"40b005b3",
577
    00000566 => x"f9dff06f",
578
    00000567 => x"40b005b3",
579
    00000568 => x"00008293",
580
    00000569 => x"f91ff0ef",
581
    00000570 => x"40a00533",
582 39 zero_gravi
    00000571 => x"00028067",
583 40 zero_gravi
    00000572 => x"00008293",
584
    00000573 => x"0005ca63",
585
    00000574 => x"00054c63",
586
    00000575 => x"f79ff0ef",
587
    00000576 => x"00058513",
588 39 zero_gravi
    00000577 => x"00028067",
589 40 zero_gravi
    00000578 => x"40b005b3",
590
    00000579 => x"fe0558e3",
591
    00000580 => x"40a00533",
592
    00000581 => x"f61ff0ef",
593
    00000582 => x"40b00533",
594
    00000583 => x"00028067",
595
    00000584 => x"00050613",
596
    00000585 => x"00000513",
597
    00000586 => x"0015f693",
598
    00000587 => x"00068463",
599
    00000588 => x"00c50533",
600
    00000589 => x"0015d593",
601
    00000590 => x"00161613",
602
    00000591 => x"fe0596e3",
603
    00000592 => x"00008067",
604
    00000593 => x"6f727245",
605
    00000594 => x"4e202172",
606
    00000595 => x"5047206f",
607
    00000596 => x"75204f49",
608
    00000597 => x"2074696e",
609
    00000598 => x"746e7973",
610
    00000599 => x"69736568",
611
    00000600 => x"2164657a",
612
    00000601 => x"0000000a",
613
    00000602 => x"6e696c42",
614
    00000603 => x"676e696b",
615
    00000604 => x"44454c20",
616
    00000605 => x"6d656420",
617
    00000606 => x"7270206f",
618
    00000607 => x"6172676f",
619
    00000608 => x"00000a6d",
620
    00000609 => x"0000030c",
621
    00000610 => x"00000318",
622
    00000611 => x"00000324",
623
    00000612 => x"00000330",
624
    00000613 => x"0000033c",
625
    00000614 => x"00000344",
626
    00000615 => x"0000034c",
627
    00000616 => x"00000354",
628
    00000617 => x"0000035c",
629 39 zero_gravi
    00000618 => x"00000278",
630 40 zero_gravi
    00000619 => x"00000278",
631
    00000620 => x"00000364",
632
    00000621 => x"0000036c",
633 39 zero_gravi
    00000622 => x"00000278",
634 40 zero_gravi
    00000623 => x"00000278",
635 39 zero_gravi
    00000624 => x"00000278",
636 40 zero_gravi
    00000625 => x"00000374",
637 39 zero_gravi
    00000626 => x"00000278",
638
    00000627 => x"00000278",
639 40 zero_gravi
    00000628 => x"00000278",
640
    00000629 => x"0000037c",
641
    00000630 => x"00000278",
642
    00000631 => x"00000278",
643
    00000632 => x"00000278",
644
    00000633 => x"00000278",
645
    00000634 => x"00000384",
646
    00000635 => x"0000038c",
647
    00000636 => x"00000394",
648
    00000637 => x"0000039c",
649
    00000638 => x"00007830",
650
    00000639 => x"4554523c",
651
    00000640 => x"0000203e",
652
    00000641 => x"74736e49",
653
    00000642 => x"74637572",
654
    00000643 => x"206e6f69",
655
    00000644 => x"72646461",
656
    00000645 => x"20737365",
657
    00000646 => x"6173696d",
658
    00000647 => x"6e67696c",
659
    00000648 => x"00006465",
660
    00000649 => x"74736e49",
661
    00000650 => x"74637572",
662
    00000651 => x"206e6f69",
663
    00000652 => x"65636361",
664
    00000653 => x"66207373",
665
    00000654 => x"746c7561",
666
    00000655 => x"00000000",
667
    00000656 => x"656c6c49",
668
    00000657 => x"206c6167",
669
    00000658 => x"74736e69",
670
    00000659 => x"74637572",
671
    00000660 => x"006e6f69",
672
    00000661 => x"61657242",
673
    00000662 => x"696f706b",
674
    00000663 => x"0000746e",
675 39 zero_gravi
    00000664 => x"64616f4c",
676 40 zero_gravi
    00000665 => x"64646120",
677
    00000666 => x"73736572",
678
    00000667 => x"73696d20",
679
    00000668 => x"67696c61",
680
    00000669 => x"0064656e",
681
    00000670 => x"64616f4c",
682
    00000671 => x"63636120",
683
    00000672 => x"20737365",
684
    00000673 => x"6c756166",
685
    00000674 => x"00000074",
686
    00000675 => x"726f7453",
687
    00000676 => x"64612065",
688
    00000677 => x"73657264",
689
    00000678 => x"696d2073",
690
    00000679 => x"696c6173",
691
    00000680 => x"64656e67",
692
    00000681 => x"00000000",
693
    00000682 => x"726f7453",
694
    00000683 => x"63612065",
695
    00000684 => x"73736563",
696
    00000685 => x"75616620",
697
    00000686 => x"0000746c",
698
    00000687 => x"69766e45",
699
    00000688 => x"6d6e6f72",
700
    00000689 => x"20746e65",
701
    00000690 => x"6c6c6163",
702
    00000691 => x"6f726620",
703
    00000692 => x"2d55206d",
704
    00000693 => x"65646f6d",
705
    00000694 => x"00000000",
706
    00000695 => x"69766e45",
707
    00000696 => x"6d6e6f72",
708
    00000697 => x"20746e65",
709
    00000698 => x"6c6c6163",
710
    00000699 => x"6f726620",
711
    00000700 => x"2d4d206d",
712
    00000701 => x"65646f6d",
713
    00000702 => x"00000000",
714
    00000703 => x"6863614d",
715
    00000704 => x"20656e69",
716
    00000705 => x"74666f73",
717
    00000706 => x"65726177",
718 39 zero_gravi
    00000707 => x"746e6920",
719
    00000708 => x"75727265",
720 40 zero_gravi
    00000709 => x"00007470",
721
    00000710 => x"6863614d",
722
    00000711 => x"20656e69",
723
    00000712 => x"656d6974",
724
    00000713 => x"6e692072",
725
    00000714 => x"72726574",
726
    00000715 => x"00747075",
727
    00000716 => x"6863614d",
728
    00000717 => x"20656e69",
729
    00000718 => x"65747865",
730
    00000719 => x"6c616e72",
731
    00000720 => x"746e6920",
732
    00000721 => x"75727265",
733
    00000722 => x"00007470",
734
    00000723 => x"74736146",
735
    00000724 => x"746e6920",
736
    00000725 => x"75727265",
737
    00000726 => x"30207470",
738
    00000727 => x"00000000",
739
    00000728 => x"74736146",
740
    00000729 => x"746e6920",
741
    00000730 => x"75727265",
742
    00000731 => x"31207470",
743
    00000732 => x"00000000",
744
    00000733 => x"74736146",
745
    00000734 => x"746e6920",
746
    00000735 => x"75727265",
747
    00000736 => x"32207470",
748
    00000737 => x"00000000",
749
    00000738 => x"74736146",
750
    00000739 => x"746e6920",
751
    00000740 => x"75727265",
752
    00000741 => x"33207470",
753
    00000742 => x"00000000",
754
    00000743 => x"6e6b6e55",
755
    00000744 => x"206e776f",
756
    00000745 => x"70617274",
757
    00000746 => x"75616320",
758
    00000747 => x"203a6573",
759
    00000748 => x"00000000",
760
    00000749 => x"50204020",
761
    00000750 => x"00003d43",
762
    00000751 => x"544d202c",
763
    00000752 => x"3d4c4156",
764
    00000753 => x"00000000",
765
    00000754 => x"00000554",
766
    00000755 => x"00000454",
767
    00000756 => x"00000454",
768
    00000757 => x"00000454",
769
    00000758 => x"00000560",
770
    00000759 => x"00000454",
771
    00000760 => x"00000454",
772
    00000761 => x"00000454",
773
    00000762 => x"0000056c",
774
    00000763 => x"00000454",
775
    00000764 => x"00000454",
776
    00000765 => x"00000454",
777
    00000766 => x"00000454",
778
    00000767 => x"00000578",
779
    00000768 => x"00000584",
780
    00000769 => x"00000590",
781
    00000770 => x"0000059c",
782
    00000771 => x"0000049c",
783
    00000772 => x"000004e8",
784
    00000773 => x"000004f4",
785
    00000774 => x"00000500",
786
    00000775 => x"0000050c",
787
    00000776 => x"00000518",
788
    00000777 => x"00000524",
789
    00000778 => x"00000530",
790
    00000779 => x"0000053c",
791
    00000780 => x"00000454",
792
    00000781 => x"00000454",
793
    00000782 => x"00000548",
794
    00000783 => x"4554523c",
795
    00000784 => x"4157203e",
796
    00000785 => x"4e494e52",
797
    00000786 => x"43202147",
798
    00000787 => x"43205550",
799
    00000788 => x"73205253",
800
    00000789 => x"65747379",
801
    00000790 => x"6f6e206d",
802
    00000791 => x"76612074",
803
    00000792 => x"616c6961",
804
    00000793 => x"21656c62",
805
    00000794 => x"522f3c20",
806
    00000795 => x"003e4554",
807
    00000796 => x"33323130",
808
    00000797 => x"37363534",
809
    00000798 => x"42413938",
810
    00000799 => x"46454443",
811 2 zero_gravi
    others   => x"00000000"
812
  );
813
 
814
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.