OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 42

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 39 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9 42 zero_gravi
  type application_init_image_t is array (0 to 807) of std_ulogic_vector(31 downto 0);
10 2 zero_gravi
  constant application_init_image : application_init_image_t := (
11 14 zero_gravi
    00000000 => x"00000093",
12 22 zero_gravi
    00000001 => x"00000113",
13
    00000002 => x"00000193",
14
    00000003 => x"00000213",
15
    00000004 => x"00000293",
16
    00000005 => x"00000313",
17
    00000006 => x"00000393",
18
    00000007 => x"00000413",
19
    00000008 => x"00000493",
20 23 zero_gravi
    00000009 => x"00000713",
21
    00000010 => x"00000793",
22 32 zero_gravi
    00000011 => x"00000813",
23
    00000012 => x"00000893",
24
    00000013 => x"00000913",
25
    00000014 => x"00000993",
26
    00000015 => x"00000a13",
27
    00000016 => x"00000a93",
28
    00000017 => x"00000b13",
29
    00000018 => x"00000b93",
30
    00000019 => x"00000c13",
31
    00000020 => x"00000c93",
32
    00000021 => x"00000d13",
33
    00000022 => x"00000d93",
34
    00000023 => x"00000e13",
35
    00000024 => x"00000e93",
36
    00000025 => x"00000f13",
37
    00000026 => x"00000f93",
38 39 zero_gravi
    00000027 => x"00002537",
39
    00000028 => x"80050513",
40
    00000029 => x"30051073",
41
    00000030 => x"30401073",
42
    00000031 => x"80002117",
43
    00000032 => x"f8010113",
44
    00000033 => x"ffc17113",
45
    00000034 => x"00010413",
46
    00000035 => x"80000197",
47
    00000036 => x"77418193",
48
    00000037 => x"00000597",
49 41 zero_gravi
    00000038 => x"0a458593",
50 39 zero_gravi
    00000039 => x"30559073",
51
    00000040 => x"f8000593",
52
    00000041 => x"0005a023",
53
    00000042 => x"00458593",
54
    00000043 => x"feb01ce3",
55
    00000044 => x"80000597",
56
    00000045 => x"f5058593",
57 40 zero_gravi
    00000046 => x"84418613",
58 39 zero_gravi
    00000047 => x"00c5d863",
59
    00000048 => x"00058023",
60
    00000049 => x"00158593",
61
    00000050 => x"ff5ff06f",
62
    00000051 => x"00001597",
63 42 zero_gravi
    00000052 => x"bd058593",
64 39 zero_gravi
    00000053 => x"80000617",
65
    00000054 => x"f2c60613",
66
    00000055 => x"80000697",
67
    00000056 => x"f2468693",
68
    00000057 => x"00d65c63",
69
    00000058 => x"00058703",
70
    00000059 => x"00e60023",
71
    00000060 => x"00158593",
72
    00000061 => x"00160613",
73
    00000062 => x"fedff06f",
74
    00000063 => x"00000513",
75
    00000064 => x"00000593",
76
    00000065 => x"b0001073",
77
    00000066 => x"b8001073",
78
    00000067 => x"b0201073",
79
    00000068 => x"b8201073",
80 41 zero_gravi
    00000069 => x"3063d073",
81
    00000070 => x"32001073",
82
    00000071 => x"80000637",
83
    00000072 => x"34261073",
84
    00000073 => x"060000ef",
85
    00000074 => x"30047073",
86
    00000075 => x"00000013",
87
    00000076 => x"10500073",
88
    00000077 => x"0000006f",
89
    00000078 => x"ff810113",
90
    00000079 => x"00812023",
91
    00000080 => x"00912223",
92
    00000081 => x"34202473",
93
    00000082 => x"02044663",
94
    00000083 => x"34102473",
95
    00000084 => x"00041483",
96
    00000085 => x"0034f493",
97
    00000086 => x"00240413",
98
    00000087 => x"34141073",
99
    00000088 => x"00300413",
100
    00000089 => x"00941863",
101
    00000090 => x"34102473",
102
    00000091 => x"00240413",
103
    00000092 => x"34141073",
104
    00000093 => x"00012483",
105
    00000094 => x"00412403",
106
    00000095 => x"00810113",
107
    00000096 => x"30200073",
108
    00000097 => x"00005537",
109
    00000098 => x"ff010113",
110 42 zero_gravi
    00000099 => x"00000693",
111
    00000100 => x"00000613",
112
    00000101 => x"00000593",
113
    00000102 => x"b0050513",
114
    00000103 => x"00112623",
115
    00000104 => x"4a4000ef",
116
    00000105 => x"5a0000ef",
117
    00000106 => x"00050c63",
118
    00000107 => x"43c000ef",
119
    00000108 => x"00001537",
120
    00000109 => x"98450513",
121
    00000110 => x"534000ef",
122
    00000111 => x"020000ef",
123
    00000112 => x"00001537",
124
    00000113 => x"96050513",
125
    00000114 => x"524000ef",
126
    00000115 => x"00c12083",
127
    00000116 => x"00000513",
128
    00000117 => x"01010113",
129
    00000118 => x"00008067",
130
    00000119 => x"ff010113",
131
    00000120 => x"00000513",
132
    00000121 => x"00812423",
133
    00000122 => x"00112623",
134
    00000123 => x"00000413",
135
    00000124 => x"564000ef",
136
    00000125 => x"0ff47513",
137
    00000126 => x"55c000ef",
138
    00000127 => x"0c800513",
139
    00000128 => x"588000ef",
140
    00000129 => x"00140413",
141
    00000130 => x"fedff06f",
142 41 zero_gravi
    00000131 => x"00000000",
143
    00000132 => x"fc010113",
144
    00000133 => x"02112e23",
145
    00000134 => x"02512c23",
146
    00000135 => x"02612a23",
147
    00000136 => x"02712823",
148
    00000137 => x"02a12623",
149
    00000138 => x"02b12423",
150
    00000139 => x"02c12223",
151
    00000140 => x"02d12023",
152
    00000141 => x"00e12e23",
153
    00000142 => x"00f12c23",
154
    00000143 => x"01012a23",
155
    00000144 => x"01112823",
156
    00000145 => x"01c12623",
157
    00000146 => x"01d12423",
158
    00000147 => x"01e12223",
159
    00000148 => x"01f12023",
160
    00000149 => x"34102773",
161
    00000150 => x"34071073",
162
    00000151 => x"342027f3",
163
    00000152 => x"0807c863",
164
    00000153 => x"00071683",
165
    00000154 => x"00300593",
166
    00000155 => x"0036f693",
167
    00000156 => x"00270613",
168
    00000157 => x"00b69463",
169
    00000158 => x"00470613",
170
    00000159 => x"34161073",
171
    00000160 => x"00b00713",
172
    00000161 => x"04f77a63",
173
    00000162 => x"42c00793",
174
    00000163 => x"000780e7",
175
    00000164 => x"03c12083",
176
    00000165 => x"03812283",
177
    00000166 => x"03412303",
178
    00000167 => x"03012383",
179
    00000168 => x"02c12503",
180
    00000169 => x"02812583",
181
    00000170 => x"02412603",
182
    00000171 => x"02012683",
183
    00000172 => x"01c12703",
184
    00000173 => x"01812783",
185
    00000174 => x"01412803",
186
    00000175 => x"01012883",
187
    00000176 => x"00c12e03",
188
    00000177 => x"00812e83",
189
    00000178 => x"00412f03",
190
    00000179 => x"00012f83",
191
    00000180 => x"04010113",
192
    00000181 => x"30200073",
193
    00000182 => x"00001737",
194
    00000183 => x"00279793",
195 42 zero_gravi
    00000184 => x"9a070713",
196 41 zero_gravi
    00000185 => x"00e787b3",
197
    00000186 => x"0007a783",
198
    00000187 => x"00078067",
199
    00000188 => x"80000737",
200
    00000189 => x"ffd74713",
201
    00000190 => x"00e787b3",
202
    00000191 => x"01000713",
203
    00000192 => x"f8f764e3",
204
    00000193 => x"00001737",
205
    00000194 => x"00279793",
206 42 zero_gravi
    00000195 => x"9d070713",
207 41 zero_gravi
    00000196 => x"00e787b3",
208
    00000197 => x"0007a783",
209
    00000198 => x"00078067",
210
    00000199 => x"800007b7",
211
    00000200 => x"0007a783",
212
    00000201 => x"f69ff06f",
213
    00000202 => x"800007b7",
214
    00000203 => x"0047a783",
215
    00000204 => x"f5dff06f",
216
    00000205 => x"800007b7",
217
    00000206 => x"0087a783",
218
    00000207 => x"f51ff06f",
219
    00000208 => x"800007b7",
220
    00000209 => x"00c7a783",
221
    00000210 => x"f45ff06f",
222
    00000211 => x"8101a783",
223
    00000212 => x"f3dff06f",
224
    00000213 => x"8141a783",
225
    00000214 => x"f35ff06f",
226
    00000215 => x"8181a783",
227
    00000216 => x"f2dff06f",
228
    00000217 => x"81c1a783",
229
    00000218 => x"f25ff06f",
230
    00000219 => x"8201a783",
231
    00000220 => x"f1dff06f",
232
    00000221 => x"8241a783",
233
    00000222 => x"f15ff06f",
234
    00000223 => x"8281a783",
235
    00000224 => x"f0dff06f",
236
    00000225 => x"82c1a783",
237
    00000226 => x"f05ff06f",
238
    00000227 => x"8301a783",
239
    00000228 => x"efdff06f",
240
    00000229 => x"8341a783",
241
    00000230 => x"ef5ff06f",
242
    00000231 => x"8381a783",
243
    00000232 => x"eedff06f",
244
    00000233 => x"83c1a783",
245
    00000234 => x"ee5ff06f",
246
    00000235 => x"8401a783",
247
    00000236 => x"eddff06f",
248
    00000237 => x"00000000",
249
    00000238 => x"00000000",
250
    00000239 => x"fe010113",
251
    00000240 => x"01212823",
252
    00000241 => x"00050913",
253
    00000242 => x"00001537",
254
    00000243 => x"00912a23",
255 42 zero_gravi
    00000244 => x"a1450513",
256 41 zero_gravi
    00000245 => x"000014b7",
257
    00000246 => x"00812c23",
258
    00000247 => x"01312623",
259
    00000248 => x"00112e23",
260
    00000249 => x"01c00413",
261 42 zero_gravi
    00000250 => x"304000ef",
262
    00000251 => x"c8c48493",
263 41 zero_gravi
    00000252 => x"ffc00993",
264
    00000253 => x"008957b3",
265
    00000254 => x"00f7f793",
266
    00000255 => x"00f487b3",
267
    00000256 => x"0007c503",
268
    00000257 => x"ffc40413",
269 42 zero_gravi
    00000258 => x"2d4000ef",
270 41 zero_gravi
    00000259 => x"ff3414e3",
271
    00000260 => x"01c12083",
272
    00000261 => x"01812403",
273
    00000262 => x"01412483",
274
    00000263 => x"01012903",
275
    00000264 => x"00c12983",
276
    00000265 => x"02010113",
277
    00000266 => x"00008067",
278
    00000267 => x"00001537",
279
    00000268 => x"ff010113",
280 42 zero_gravi
    00000269 => x"a1850513",
281 41 zero_gravi
    00000270 => x"00112623",
282
    00000271 => x"00812423",
283 42 zero_gravi
    00000272 => x"2ac000ef",
284 41 zero_gravi
    00000273 => x"34202473",
285
    00000274 => x"00b00793",
286
    00000275 => x"0487f463",
287
    00000276 => x"800007b7",
288
    00000277 => x"ffd7c793",
289
    00000278 => x"00f407b3",
290
    00000279 => x"01000713",
291
    00000280 => x"00f77e63",
292
    00000281 => x"00001537",
293 42 zero_gravi
    00000282 => x"bb850513",
294
    00000283 => x"280000ef",
295 41 zero_gravi
    00000284 => x"00040513",
296
    00000285 => x"f49ff0ef",
297
    00000286 => x"0400006f",
298
    00000287 => x"00001737",
299
    00000288 => x"00279793",
300 42 zero_gravi
    00000289 => x"be470713",
301 41 zero_gravi
    00000290 => x"00e787b3",
302
    00000291 => x"0007a783",
303
    00000292 => x"00078067",
304
    00000293 => x"00001737",
305
    00000294 => x"00241793",
306 42 zero_gravi
    00000295 => x"c2870713",
307 41 zero_gravi
    00000296 => x"00e787b3",
308
    00000297 => x"0007a783",
309
    00000298 => x"00078067",
310
    00000299 => x"00001537",
311 42 zero_gravi
    00000300 => x"a2050513",
312
    00000301 => x"238000ef",
313 41 zero_gravi
    00000302 => x"00001537",
314 42 zero_gravi
    00000303 => x"bd050513",
315
    00000304 => x"22c000ef",
316 41 zero_gravi
    00000305 => x"34002573",
317
    00000306 => x"ef5ff0ef",
318
    00000307 => x"00001537",
319 42 zero_gravi
    00000308 => x"bd850513",
320
    00000309 => x"218000ef",
321 41 zero_gravi
    00000310 => x"34302573",
322
    00000311 => x"ee1ff0ef",
323
    00000312 => x"00812403",
324
    00000313 => x"00c12083",
325 39 zero_gravi
    00000314 => x"00001537",
326 42 zero_gravi
    00000315 => x"c8450513",
327 41 zero_gravi
    00000316 => x"01010113",
328 42 zero_gravi
    00000317 => x"1f80006f",
329 41 zero_gravi
    00000318 => x"00001537",
330 42 zero_gravi
    00000319 => x"a4050513",
331 41 zero_gravi
    00000320 => x"fb5ff06f",
332
    00000321 => x"00001537",
333 42 zero_gravi
    00000322 => x"a5c50513",
334 41 zero_gravi
    00000323 => x"fa9ff06f",
335
    00000324 => x"00001537",
336 42 zero_gravi
    00000325 => x"a7050513",
337 41 zero_gravi
    00000326 => x"f9dff06f",
338
    00000327 => x"00001537",
339 42 zero_gravi
    00000328 => x"a7c50513",
340 41 zero_gravi
    00000329 => x"f91ff06f",
341
    00000330 => x"00001537",
342 42 zero_gravi
    00000331 => x"a9450513",
343 41 zero_gravi
    00000332 => x"f85ff06f",
344
    00000333 => x"00001537",
345 42 zero_gravi
    00000334 => x"aa850513",
346 41 zero_gravi
    00000335 => x"f79ff06f",
347
    00000336 => x"00001537",
348 42 zero_gravi
    00000337 => x"ac450513",
349 41 zero_gravi
    00000338 => x"f6dff06f",
350
    00000339 => x"00001537",
351 42 zero_gravi
    00000340 => x"ad850513",
352 41 zero_gravi
    00000341 => x"f61ff06f",
353
    00000342 => x"00001537",
354 42 zero_gravi
    00000343 => x"af850513",
355 41 zero_gravi
    00000344 => x"f55ff06f",
356
    00000345 => x"00001537",
357 42 zero_gravi
    00000346 => x"b1850513",
358 41 zero_gravi
    00000347 => x"f49ff06f",
359
    00000348 => x"00001537",
360 42 zero_gravi
    00000349 => x"b3450513",
361 41 zero_gravi
    00000350 => x"f3dff06f",
362
    00000351 => x"00001537",
363 42 zero_gravi
    00000352 => x"b4c50513",
364 41 zero_gravi
    00000353 => x"f31ff06f",
365
    00000354 => x"00001537",
366 42 zero_gravi
    00000355 => x"b6850513",
367 41 zero_gravi
    00000356 => x"f25ff06f",
368
    00000357 => x"00001537",
369 42 zero_gravi
    00000358 => x"b7c50513",
370 41 zero_gravi
    00000359 => x"f19ff06f",
371
    00000360 => x"00001537",
372 42 zero_gravi
    00000361 => x"b9050513",
373 41 zero_gravi
    00000362 => x"f0dff06f",
374
    00000363 => x"00001537",
375 42 zero_gravi
    00000364 => x"ba450513",
376 41 zero_gravi
    00000365 => x"f01ff06f",
377
    00000366 => x"01000793",
378
    00000367 => x"02a7e263",
379
    00000368 => x"800007b7",
380
    00000369 => x"00078793",
381
    00000370 => x"00251513",
382
    00000371 => x"00a78533",
383
    00000372 => x"42c00793",
384
    00000373 => x"00f52023",
385
    00000374 => x"00000513",
386
    00000375 => x"00008067",
387
    00000376 => x"00100513",
388
    00000377 => x"00008067",
389
    00000378 => x"ff010113",
390
    00000379 => x"00112623",
391
    00000380 => x"00812423",
392
    00000381 => x"00912223",
393
    00000382 => x"301027f3",
394
    00000383 => x"00079863",
395
    00000384 => x"00001537",
396 42 zero_gravi
    00000385 => x"c5850513",
397
    00000386 => x"0e4000ef",
398 41 zero_gravi
    00000387 => x"21000793",
399
    00000388 => x"30579073",
400
    00000389 => x"00000413",
401
    00000390 => x"01100493",
402
    00000391 => x"00040513",
403
    00000392 => x"00140413",
404
    00000393 => x"0ff47413",
405
    00000394 => x"f91ff0ef",
406
    00000395 => x"fe9418e3",
407
    00000396 => x"00c12083",
408
    00000397 => x"00812403",
409
    00000398 => x"00412483",
410
    00000399 => x"01010113",
411
    00000400 => x"00008067",
412
    00000401 => x"fa002023",
413 42 zero_gravi
    00000402 => x"fe002803",
414 41 zero_gravi
    00000403 => x"00151513",
415
    00000404 => x"00000713",
416 42 zero_gravi
    00000405 => x"04a87863",
417
    00000406 => x"00001537",
418 41 zero_gravi
    00000407 => x"00000793",
419 42 zero_gravi
    00000408 => x"ffe50513",
420
    00000409 => x"04e56a63",
421
    00000410 => x"0016f693",
422
    00000411 => x"00167613",
423 41 zero_gravi
    00000412 => x"01879793",
424 42 zero_gravi
    00000413 => x"01e69693",
425
    00000414 => x"0035f593",
426
    00000415 => x"00d7e7b3",
427
    00000416 => x"01d61613",
428
    00000417 => x"00c7e7b3",
429
    00000418 => x"01659593",
430
    00000419 => x"00b7e7b3",
431
    00000420 => x"00e7e7b3",
432
    00000421 => x"10000737",
433
    00000422 => x"00e7e7b3",
434
    00000423 => x"faf02023",
435
    00000424 => x"00008067",
436
    00000425 => x"00170793",
437
    00000426 => x"01079713",
438
    00000427 => x"40a80833",
439
    00000428 => x"01075713",
440
    00000429 => x"fa1ff06f",
441
    00000430 => x"ffe78813",
442
    00000431 => x"0fd87813",
443
    00000432 => x"00081a63",
444
    00000433 => x"00375713",
445
    00000434 => x"00178793",
446
    00000435 => x"0ff7f793",
447
    00000436 => x"f95ff06f",
448
    00000437 => x"00175713",
449
    00000438 => x"ff1ff06f",
450
    00000439 => x"fa002783",
451
    00000440 => x"fe07cee3",
452
    00000441 => x"faa02223",
453
    00000442 => x"00008067",
454
    00000443 => x"ff010113",
455
    00000444 => x"00812423",
456
    00000445 => x"01212023",
457
    00000446 => x"00112623",
458
    00000447 => x"00912223",
459
    00000448 => x"00050413",
460
    00000449 => x"00a00913",
461
    00000450 => x"00044483",
462
    00000451 => x"00140413",
463
    00000452 => x"00049e63",
464
    00000453 => x"00c12083",
465
    00000454 => x"00812403",
466
    00000455 => x"00412483",
467
    00000456 => x"00012903",
468
    00000457 => x"01010113",
469
    00000458 => x"00008067",
470
    00000459 => x"01249663",
471
    00000460 => x"00d00513",
472
    00000461 => x"fa9ff0ef",
473
    00000462 => x"00048513",
474
    00000463 => x"fa1ff0ef",
475
    00000464 => x"fc9ff06f",
476
    00000465 => x"fe802503",
477
    00000466 => x"01055513",
478
    00000467 => x"00157513",
479
    00000468 => x"00008067",
480
    00000469 => x"f8a02223",
481
    00000470 => x"00008067",
482
    00000471 => x"ff010113",
483
    00000472 => x"c80026f3",
484
    00000473 => x"c0002773",
485
    00000474 => x"c80027f3",
486
    00000475 => x"fed79ae3",
487
    00000476 => x"00e12023",
488
    00000477 => x"00f12223",
489
    00000478 => x"00012503",
490
    00000479 => x"00412583",
491
    00000480 => x"01010113",
492
    00000481 => x"00008067",
493
    00000482 => x"fe010113",
494
    00000483 => x"00112e23",
495
    00000484 => x"00812c23",
496
    00000485 => x"00912a23",
497
    00000486 => x"00a12623",
498
    00000487 => x"fc1ff0ef",
499
    00000488 => x"00050493",
500
    00000489 => x"fe002503",
501
    00000490 => x"00058413",
502
    00000491 => x"3e800593",
503
    00000492 => x"104000ef",
504
    00000493 => x"00c12603",
505
    00000494 => x"00000693",
506
    00000495 => x"00000593",
507
    00000496 => x"05c000ef",
508
    00000497 => x"009504b3",
509
    00000498 => x"00a4b533",
510
    00000499 => x"00858433",
511
    00000500 => x"00850433",
512
    00000501 => x"f89ff0ef",
513
    00000502 => x"fe85eee3",
514
    00000503 => x"00b41463",
515
    00000504 => x"fe956ae3",
516
    00000505 => x"01c12083",
517
    00000506 => x"01812403",
518
    00000507 => x"01412483",
519
    00000508 => x"02010113",
520
    00000509 => x"00008067",
521
    00000510 => x"00050613",
522
    00000511 => x"00000513",
523
    00000512 => x"0015f693",
524
    00000513 => x"00068463",
525
    00000514 => x"00c50533",
526
    00000515 => x"0015d593",
527
    00000516 => x"00161613",
528
    00000517 => x"fe0596e3",
529
    00000518 => x"00008067",
530
    00000519 => x"00050313",
531
    00000520 => x"ff010113",
532
    00000521 => x"00060513",
533
    00000522 => x"00068893",
534
    00000523 => x"00112623",
535
    00000524 => x"00030613",
536
    00000525 => x"00050693",
537
    00000526 => x"00000713",
538
    00000527 => x"00000793",
539
    00000528 => x"00000813",
540
    00000529 => x"0016fe13",
541
    00000530 => x"00171e93",
542
    00000531 => x"000e0c63",
543
    00000532 => x"01060e33",
544
    00000533 => x"010e3833",
545
    00000534 => x"00e787b3",
546
    00000535 => x"00f807b3",
547
    00000536 => x"000e0813",
548
    00000537 => x"01f65713",
549
    00000538 => x"0016d693",
550
    00000539 => x"00eee733",
551
    00000540 => x"00161613",
552
    00000541 => x"fc0698e3",
553
    00000542 => x"00058663",
554
    00000543 => x"f7dff0ef",
555
    00000544 => x"00a787b3",
556
    00000545 => x"00088a63",
557
    00000546 => x"00030513",
558
    00000547 => x"00088593",
559
    00000548 => x"f69ff0ef",
560
    00000549 => x"00f507b3",
561
    00000550 => x"00c12083",
562
    00000551 => x"00080513",
563
    00000552 => x"00078593",
564
    00000553 => x"01010113",
565
    00000554 => x"00008067",
566
    00000555 => x"06054063",
567
    00000556 => x"0605c663",
568
    00000557 => x"00058613",
569
    00000558 => x"00050593",
570
    00000559 => x"fff00513",
571
    00000560 => x"02060c63",
572
    00000561 => x"00100693",
573
    00000562 => x"00b67a63",
574
    00000563 => x"00c05863",
575
    00000564 => x"00161613",
576
    00000565 => x"00169693",
577
    00000566 => x"feb66ae3",
578
    00000567 => x"00000513",
579
    00000568 => x"00c5e663",
580
    00000569 => x"40c585b3",
581
    00000570 => x"00d56533",
582
    00000571 => x"0016d693",
583
    00000572 => x"00165613",
584
    00000573 => x"fe0696e3",
585
    00000574 => x"00008067",
586
    00000575 => x"00008293",
587
    00000576 => x"fb5ff0ef",
588
    00000577 => x"00058513",
589
    00000578 => x"00028067",
590
    00000579 => x"40a00533",
591
    00000580 => x"00b04863",
592
    00000581 => x"40b005b3",
593
    00000582 => x"f9dff06f",
594
    00000583 => x"40b005b3",
595
    00000584 => x"00008293",
596
    00000585 => x"f91ff0ef",
597
    00000586 => x"40a00533",
598
    00000587 => x"00028067",
599
    00000588 => x"00008293",
600
    00000589 => x"0005ca63",
601
    00000590 => x"00054c63",
602
    00000591 => x"f79ff0ef",
603
    00000592 => x"00058513",
604
    00000593 => x"00028067",
605
    00000594 => x"40b005b3",
606
    00000595 => x"fe0558e3",
607
    00000596 => x"40a00533",
608
    00000597 => x"f61ff0ef",
609
    00000598 => x"40b00533",
610
    00000599 => x"00028067",
611
    00000600 => x"6f727245",
612
    00000601 => x"4e202172",
613
    00000602 => x"5047206f",
614
    00000603 => x"75204f49",
615
    00000604 => x"2074696e",
616
    00000605 => x"746e7973",
617
    00000606 => x"69736568",
618
    00000607 => x"2164657a",
619
    00000608 => x"0000000a",
620
    00000609 => x"6e696c42",
621
    00000610 => x"676e696b",
622
    00000611 => x"44454c20",
623
    00000612 => x"6d656420",
624
    00000613 => x"7270206f",
625
    00000614 => x"6172676f",
626
    00000615 => x"00000a6d",
627
    00000616 => x"0000031c",
628
    00000617 => x"00000328",
629
    00000618 => x"00000334",
630
    00000619 => x"00000340",
631
    00000620 => x"0000034c",
632
    00000621 => x"00000354",
633
    00000622 => x"0000035c",
634
    00000623 => x"00000364",
635
    00000624 => x"0000036c",
636
    00000625 => x"00000288",
637 41 zero_gravi
    00000626 => x"00000288",
638 42 zero_gravi
    00000627 => x"00000374",
639
    00000628 => x"0000037c",
640
    00000629 => x"00000288",
641 41 zero_gravi
    00000630 => x"00000288",
642
    00000631 => x"00000288",
643 42 zero_gravi
    00000632 => x"00000384",
644
    00000633 => x"00000288",
645 41 zero_gravi
    00000634 => x"00000288",
646
    00000635 => x"00000288",
647 42 zero_gravi
    00000636 => x"0000038c",
648 41 zero_gravi
    00000637 => x"00000288",
649 42 zero_gravi
    00000638 => x"00000288",
650
    00000639 => x"00000288",
651
    00000640 => x"00000288",
652
    00000641 => x"00000394",
653
    00000642 => x"0000039c",
654
    00000643 => x"000003a4",
655
    00000644 => x"000003ac",
656
    00000645 => x"00007830",
657
    00000646 => x"4554523c",
658
    00000647 => x"0000203e",
659
    00000648 => x"74736e49",
660
    00000649 => x"74637572",
661
    00000650 => x"206e6f69",
662
    00000651 => x"72646461",
663
    00000652 => x"20737365",
664
    00000653 => x"6173696d",
665
    00000654 => x"6e67696c",
666
    00000655 => x"00006465",
667
    00000656 => x"74736e49",
668
    00000657 => x"74637572",
669
    00000658 => x"206e6f69",
670
    00000659 => x"65636361",
671
    00000660 => x"66207373",
672
    00000661 => x"746c7561",
673
    00000662 => x"00000000",
674
    00000663 => x"656c6c49",
675
    00000664 => x"206c6167",
676
    00000665 => x"74736e69",
677
    00000666 => x"74637572",
678
    00000667 => x"006e6f69",
679
    00000668 => x"61657242",
680
    00000669 => x"696f706b",
681
    00000670 => x"0000746e",
682
    00000671 => x"64616f4c",
683
    00000672 => x"64646120",
684
    00000673 => x"73736572",
685
    00000674 => x"73696d20",
686
    00000675 => x"67696c61",
687
    00000676 => x"0064656e",
688
    00000677 => x"64616f4c",
689
    00000678 => x"63636120",
690
    00000679 => x"20737365",
691
    00000680 => x"6c756166",
692
    00000681 => x"00000074",
693
    00000682 => x"726f7453",
694
    00000683 => x"64612065",
695
    00000684 => x"73657264",
696
    00000685 => x"696d2073",
697
    00000686 => x"696c6173",
698
    00000687 => x"64656e67",
699
    00000688 => x"00000000",
700
    00000689 => x"726f7453",
701
    00000690 => x"63612065",
702
    00000691 => x"73736563",
703
    00000692 => x"75616620",
704
    00000693 => x"0000746c",
705
    00000694 => x"69766e45",
706
    00000695 => x"6d6e6f72",
707
    00000696 => x"20746e65",
708
    00000697 => x"6c6c6163",
709
    00000698 => x"6f726620",
710
    00000699 => x"2d55206d",
711
    00000700 => x"65646f6d",
712
    00000701 => x"00000000",
713
    00000702 => x"69766e45",
714
    00000703 => x"6d6e6f72",
715
    00000704 => x"20746e65",
716
    00000705 => x"6c6c6163",
717
    00000706 => x"6f726620",
718
    00000707 => x"2d4d206d",
719
    00000708 => x"65646f6d",
720
    00000709 => x"00000000",
721
    00000710 => x"6863614d",
722
    00000711 => x"20656e69",
723
    00000712 => x"74666f73",
724
    00000713 => x"65726177",
725
    00000714 => x"746e6920",
726
    00000715 => x"75727265",
727
    00000716 => x"00007470",
728
    00000717 => x"6863614d",
729
    00000718 => x"20656e69",
730
    00000719 => x"656d6974",
731
    00000720 => x"6e692072",
732
    00000721 => x"72726574",
733
    00000722 => x"00747075",
734
    00000723 => x"6863614d",
735
    00000724 => x"20656e69",
736
    00000725 => x"65747865",
737
    00000726 => x"6c616e72",
738
    00000727 => x"746e6920",
739
    00000728 => x"75727265",
740
    00000729 => x"00007470",
741
    00000730 => x"74736146",
742
    00000731 => x"746e6920",
743
    00000732 => x"75727265",
744
    00000733 => x"30207470",
745
    00000734 => x"00000000",
746
    00000735 => x"74736146",
747
    00000736 => x"746e6920",
748
    00000737 => x"75727265",
749
    00000738 => x"31207470",
750
    00000739 => x"00000000",
751
    00000740 => x"74736146",
752
    00000741 => x"746e6920",
753
    00000742 => x"75727265",
754
    00000743 => x"32207470",
755
    00000744 => x"00000000",
756
    00000745 => x"74736146",
757
    00000746 => x"746e6920",
758
    00000747 => x"75727265",
759
    00000748 => x"33207470",
760
    00000749 => x"00000000",
761
    00000750 => x"6e6b6e55",
762
    00000751 => x"206e776f",
763
    00000752 => x"70617274",
764
    00000753 => x"75616320",
765
    00000754 => x"203a6573",
766
    00000755 => x"00000000",
767
    00000756 => x"50204020",
768
    00000757 => x"00003d43",
769
    00000758 => x"544d202c",
770
    00000759 => x"3d4c4156",
771
    00000760 => x"00000000",
772
    00000761 => x"00000564",
773
    00000762 => x"00000464",
774 41 zero_gravi
    00000763 => x"00000464",
775
    00000764 => x"00000464",
776 42 zero_gravi
    00000765 => x"00000570",
777
    00000766 => x"00000464",
778 41 zero_gravi
    00000767 => x"00000464",
779
    00000768 => x"00000464",
780 42 zero_gravi
    00000769 => x"0000057c",
781 41 zero_gravi
    00000770 => x"00000464",
782 42 zero_gravi
    00000771 => x"00000464",
783
    00000772 => x"00000464",
784
    00000773 => x"00000464",
785
    00000774 => x"00000588",
786
    00000775 => x"00000594",
787
    00000776 => x"000005a0",
788
    00000777 => x"000005ac",
789
    00000778 => x"000004ac",
790
    00000779 => x"000004f8",
791
    00000780 => x"00000504",
792
    00000781 => x"00000510",
793
    00000782 => x"0000051c",
794
    00000783 => x"00000528",
795
    00000784 => x"00000534",
796
    00000785 => x"00000540",
797
    00000786 => x"0000054c",
798
    00000787 => x"00000464",
799
    00000788 => x"00000464",
800
    00000789 => x"00000558",
801
    00000790 => x"4554523c",
802
    00000791 => x"4157203e",
803
    00000792 => x"4e494e52",
804
    00000793 => x"43202147",
805
    00000794 => x"43205550",
806
    00000795 => x"73205253",
807
    00000796 => x"65747379",
808
    00000797 => x"6f6e206d",
809
    00000798 => x"76612074",
810
    00000799 => x"616c6961",
811
    00000800 => x"21656c62",
812
    00000801 => x"522f3c20",
813
    00000802 => x"003e4554",
814
    00000803 => x"33323130",
815
    00000804 => x"37363534",
816
    00000805 => x"42413938",
817
    00000806 => x"46454443",
818 2 zero_gravi
    others   => x"00000000"
819
  );
820
 
821
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.