OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 58

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 47 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9 58 zero_gravi
  type application_init_image_t is array (0 to 1062) of std_ulogic_vector(31 downto 0);
10 2 zero_gravi
  constant application_init_image : application_init_image_t := (
11 14 zero_gravi
    00000000 => x"00000093",
12 22 zero_gravi
    00000001 => x"00000113",
13
    00000002 => x"00000193",
14
    00000003 => x"00000213",
15
    00000004 => x"00000293",
16
    00000005 => x"00000313",
17
    00000006 => x"00000393",
18 58 zero_gravi
    00000007 => x"00000713",
19
    00000008 => x"00000793",
20
    00000009 => x"80002117",
21
    00000010 => x"fd810113",
22
    00000011 => x"ffc17113",
23
    00000012 => x"00010413",
24
    00000013 => x"80000197",
25
    00000014 => x"7cc18193",
26
    00000015 => x"00000517",
27
    00000016 => x"10050513",
28
    00000017 => x"30551073",
29
    00000018 => x"34151073",
30
    00000019 => x"34301073",
31
    00000020 => x"34201073",
32
    00000021 => x"30001073",
33
    00000022 => x"30401073",
34
    00000023 => x"30601073",
35
    00000024 => x"ffa00593",
36
    00000025 => x"32059073",
37
    00000026 => x"b0001073",
38
    00000027 => x"b8001073",
39
    00000028 => x"b0201073",
40
    00000029 => x"b8201073",
41
    00000030 => x"00000813",
42
    00000031 => x"00000893",
43
    00000032 => x"00000913",
44
    00000033 => x"00000993",
45
    00000034 => x"00000a13",
46
    00000035 => x"00000a93",
47
    00000036 => x"00000b13",
48
    00000037 => x"00000b93",
49
    00000038 => x"00000c13",
50
    00000039 => x"00000c93",
51
    00000040 => x"00000d13",
52
    00000041 => x"00000d93",
53
    00000042 => x"00000e13",
54
    00000043 => x"00000e93",
55
    00000044 => x"00000f13",
56
    00000045 => x"00000f93",
57
    00000046 => x"00000417",
58
    00000047 => x"e4840413",
59
    00000048 => x"00000497",
60
    00000049 => x"f4048493",
61
    00000050 => x"00042023",
62
    00000051 => x"00440413",
63
    00000052 => x"fe941ce3",
64
    00000053 => x"80000597",
65
    00000054 => x"f2c58593",
66
    00000055 => x"87818613",
67
    00000056 => x"00c5d863",
68
    00000057 => x"00058023",
69
    00000058 => x"00158593",
70
    00000059 => x"ff5ff06f",
71
    00000060 => x"00001597",
72
    00000061 => x"fa858593",
73
    00000062 => x"80000617",
74
    00000063 => x"f0860613",
75
    00000064 => x"80000697",
76
    00000065 => x"f0068693",
77
    00000066 => x"00d65c63",
78
    00000067 => x"00058703",
79
    00000068 => x"00e60023",
80
    00000069 => x"00158593",
81
    00000070 => x"00160613",
82
    00000071 => x"fedff06f",
83
    00000072 => x"00000513",
84
    00000073 => x"00000593",
85
    00000074 => x"060000ef",
86
    00000075 => x"30047073",
87
    00000076 => x"00000013",
88
    00000077 => x"10500073",
89
    00000078 => x"0000006f",
90
    00000079 => x"ff810113",
91
    00000080 => x"00812023",
92
    00000081 => x"00912223",
93
    00000082 => x"34202473",
94
    00000083 => x"02044663",
95
    00000084 => x"34102473",
96
    00000085 => x"00041483",
97
    00000086 => x"0034f493",
98
    00000087 => x"00240413",
99
    00000088 => x"34141073",
100
    00000089 => x"00300413",
101
    00000090 => x"00941863",
102
    00000091 => x"34102473",
103
    00000092 => x"00240413",
104
    00000093 => x"34141073",
105
    00000094 => x"00012403",
106
    00000095 => x"00412483",
107
    00000096 => x"00810113",
108
    00000097 => x"30200073",
109
    00000098 => x"00005537",
110
    00000099 => x"ff010113",
111
    00000100 => x"00000613",
112
    00000101 => x"00000593",
113
    00000102 => x"b0050513",
114
    00000103 => x"00112623",
115
    00000104 => x"248000ef",
116
    00000105 => x"1d9000ef",
117
    00000106 => x"02050063",
118
    00000107 => x"129000ef",
119
    00000108 => x"00000513",
120
    00000109 => x"17d000ef",
121
    00000110 => x"00001537",
122
    00000111 => x"d2050513",
123
    00000112 => x"298000ef",
124
    00000113 => x"020000ef",
125
    00000114 => x"00001537",
126
    00000115 => x"cfc50513",
127
    00000116 => x"288000ef",
128
    00000117 => x"00c12083",
129
    00000118 => x"00000513",
130
    00000119 => x"01010113",
131
    00000120 => x"00008067",
132
    00000121 => x"ff010113",
133
    00000122 => x"00000513",
134
    00000123 => x"00812423",
135
    00000124 => x"00112623",
136
    00000125 => x"00000413",
137
    00000126 => x"195000ef",
138
    00000127 => x"0ff47513",
139
    00000128 => x"18d000ef",
140
    00000129 => x"0c800513",
141
    00000130 => x"420000ef",
142
    00000131 => x"00140413",
143
    00000132 => x"fedff06f",
144
    00000133 => x"fd010113",
145
    00000134 => x"02812423",
146
    00000135 => x"02912223",
147
    00000136 => x"03212023",
148
    00000137 => x"01312e23",
149
    00000138 => x"01412c23",
150
    00000139 => x"02112623",
151
    00000140 => x"01512a23",
152
    00000141 => x"00001a37",
153
    00000142 => x"00050493",
154
    00000143 => x"00058413",
155
    00000144 => x"00058523",
156
    00000145 => x"00000993",
157
    00000146 => x"00410913",
158
    00000147 => x"d3ca0a13",
159
    00000148 => x"00a00593",
160
    00000149 => x"00048513",
161
    00000150 => x"241000ef",
162
    00000151 => x"00aa0533",
163
    00000152 => x"00054783",
164
    00000153 => x"01390ab3",
165
    00000154 => x"00048513",
166
    00000155 => x"00fa8023",
167
    00000156 => x"00a00593",
168
    00000157 => x"1dd000ef",
169
    00000158 => x"00198993",
170
    00000159 => x"00a00793",
171
    00000160 => x"00050493",
172
    00000161 => x"fcf996e3",
173
    00000162 => x"00090693",
174
    00000163 => x"00900713",
175
    00000164 => x"03000613",
176
    00000165 => x"0096c583",
177
    00000166 => x"00070793",
178
    00000167 => x"fff70713",
179
    00000168 => x"01071713",
180
    00000169 => x"01075713",
181
    00000170 => x"00c59a63",
182
    00000171 => x"000684a3",
183
    00000172 => x"fff68693",
184
    00000173 => x"fe0710e3",
185
    00000174 => x"00000793",
186
    00000175 => x"00f907b3",
187
    00000176 => x"00000593",
188
    00000177 => x"0007c703",
189
    00000178 => x"00070c63",
190
    00000179 => x"00158693",
191
    00000180 => x"00b405b3",
192
    00000181 => x"00e58023",
193
    00000182 => x"01069593",
194
    00000183 => x"0105d593",
195
    00000184 => x"fff78713",
196
    00000185 => x"02f91863",
197
    00000186 => x"00b40433",
198
    00000187 => x"00040023",
199
    00000188 => x"02c12083",
200
    00000189 => x"02812403",
201
    00000190 => x"02412483",
202
    00000191 => x"02012903",
203
    00000192 => x"01c12983",
204
    00000193 => x"01812a03",
205
    00000194 => x"01412a83",
206
    00000195 => x"03010113",
207
    00000196 => x"00008067",
208
    00000197 => x"00070793",
209
    00000198 => x"fadff06f",
210
    00000199 => x"00001637",
211
    00000200 => x"00758693",
212
    00000201 => x"00000713",
213
    00000202 => x"d4860613",
214
    00000203 => x"02000813",
215
    00000204 => x"00e557b3",
216
    00000205 => x"00f7f793",
217
    00000206 => x"00f607b3",
218
    00000207 => x"0007c783",
219
    00000208 => x"00470713",
220
    00000209 => x"fff68693",
221
    00000210 => x"00f680a3",
222
    00000211 => x"ff0712e3",
223
    00000212 => x"00058423",
224
    00000213 => x"00008067",
225
    00000214 => x"fa002023",
226
    00000215 => x"fe002703",
227
    00000216 => x"00151513",
228
    00000217 => x"00000793",
229
    00000218 => x"04a77463",
230
    00000219 => x"000016b7",
231
    00000220 => x"00000713",
232
    00000221 => x"ffe68693",
233
    00000222 => x"04f6e663",
234
    00000223 => x"00367613",
235
    00000224 => x"0035f593",
236
    00000225 => x"fff78793",
237
    00000226 => x"01461613",
238
    00000227 => x"00c7e7b3",
239
    00000228 => x"01659593",
240
    00000229 => x"01871713",
241
    00000230 => x"00b7e7b3",
242
    00000231 => x"00e7e7b3",
243
    00000232 => x"10000737",
244
    00000233 => x"00e7e7b3",
245
    00000234 => x"faf02023",
246
    00000235 => x"00008067",
247
    00000236 => x"00178793",
248
    00000237 => x"01079793",
249
    00000238 => x"40a70733",
250
    00000239 => x"0107d793",
251
    00000240 => x"fa9ff06f",
252
    00000241 => x"ffe70513",
253
    00000242 => x"0fd57513",
254
    00000243 => x"00051a63",
255
    00000244 => x"0037d793",
256
    00000245 => x"00170713",
257
    00000246 => x"0ff77713",
258
    00000247 => x"f9dff06f",
259
    00000248 => x"0017d793",
260
    00000249 => x"ff1ff06f",
261
    00000250 => x"f71ff06f",
262
    00000251 => x"fa002783",
263
    00000252 => x"fe07cee3",
264
    00000253 => x"faa02223",
265
    00000254 => x"00008067",
266
    00000255 => x"ff1ff06f",
267
    00000256 => x"ff010113",
268
    00000257 => x"00812423",
269
    00000258 => x"01212023",
270
    00000259 => x"00112623",
271
    00000260 => x"00912223",
272
    00000261 => x"00050413",
273
    00000262 => x"00a00913",
274
    00000263 => x"00044483",
275
    00000264 => x"00140413",
276
    00000265 => x"00049e63",
277
    00000266 => x"00c12083",
278
    00000267 => x"00812403",
279
    00000268 => x"00412483",
280
    00000269 => x"00012903",
281
    00000270 => x"01010113",
282
    00000271 => x"00008067",
283
    00000272 => x"01249663",
284
    00000273 => x"00d00513",
285
    00000274 => x"fa5ff0ef",
286
    00000275 => x"00048513",
287
    00000276 => x"f9dff0ef",
288
    00000277 => x"fc9ff06f",
289
    00000278 => x"fa9ff06f",
290
    00000279 => x"fa010113",
291
    00000280 => x"04f12a23",
292
    00000281 => x"04410793",
293
    00000282 => x"02812c23",
294
    00000283 => x"03212823",
295
    00000284 => x"03412423",
296
    00000285 => x"03512223",
297
    00000286 => x"03612023",
298
    00000287 => x"01712e23",
299
    00000288 => x"01812c23",
300
    00000289 => x"01912a23",
301
    00000290 => x"02112e23",
302
    00000291 => x"02912a23",
303
    00000292 => x"03312623",
304
    00000293 => x"00050413",
305
    00000294 => x"04b12223",
306
    00000295 => x"04c12423",
307
    00000296 => x"04d12623",
308
    00000297 => x"04e12823",
309
    00000298 => x"05012c23",
310
    00000299 => x"05112e23",
311
    00000300 => x"00f12023",
312
    00000301 => x"02500a13",
313
    00000302 => x"00a00a93",
314
    00000303 => x"07300913",
315
    00000304 => x"07500b13",
316
    00000305 => x"07800b93",
317
    00000306 => x"06300c13",
318
    00000307 => x"06900c93",
319
    00000308 => x"00044483",
320
    00000309 => x"02049c63",
321
    00000310 => x"03c12083",
322
    00000311 => x"03812403",
323
    00000312 => x"03412483",
324
    00000313 => x"03012903",
325
    00000314 => x"02c12983",
326
    00000315 => x"02812a03",
327
    00000316 => x"02412a83",
328
    00000317 => x"02012b03",
329
    00000318 => x"01c12b83",
330
    00000319 => x"01812c03",
331
    00000320 => x"01412c83",
332
    00000321 => x"06010113",
333
    00000322 => x"00008067",
334
    00000323 => x"0d449863",
335
    00000324 => x"00240993",
336
    00000325 => x"00144403",
337
    00000326 => x"05240263",
338
    00000327 => x"00896e63",
339
    00000328 => x"05840c63",
340
    00000329 => x"07940663",
341
    00000330 => x"02500513",
342
    00000331 => x"ec1ff0ef",
343
    00000332 => x"00040513",
344
    00000333 => x"0540006f",
345
    00000334 => x"09640663",
346
    00000335 => x"ff7416e3",
347
    00000336 => x"00012783",
348
    00000337 => x"00410593",
349
    00000338 => x"0007a503",
350
    00000339 => x"00478713",
351
    00000340 => x"00e12023",
352
    00000341 => x"dc9ff0ef",
353
    00000342 => x"0640006f",
354
    00000343 => x"00012783",
355
    00000344 => x"0007a503",
356
    00000345 => x"00478713",
357
    00000346 => x"00e12023",
358
    00000347 => x"e95ff0ef",
359
    00000348 => x"00098413",
360
    00000349 => x"f5dff06f",
361
    00000350 => x"00012783",
362
    00000351 => x"0007c503",
363
    00000352 => x"00478713",
364
    00000353 => x"00e12023",
365
    00000354 => x"e65ff0ef",
366
    00000355 => x"fe5ff06f",
367
    00000356 => x"00012783",
368
    00000357 => x"0007a403",
369
    00000358 => x"00478713",
370
    00000359 => x"00e12023",
371
    00000360 => x"00045863",
372
    00000361 => x"02d00513",
373
    00000362 => x"40800433",
374
    00000363 => x"e41ff0ef",
375
    00000364 => x"00410593",
376
    00000365 => x"00040513",
377
    00000366 => x"c5dff0ef",
378
    00000367 => x"00410513",
379
    00000368 => x"fadff06f",
380
    00000369 => x"00012783",
381
    00000370 => x"00410593",
382
    00000371 => x"00478713",
383
    00000372 => x"0007a503",
384
    00000373 => x"00e12023",
385
    00000374 => x"fe1ff06f",
386
    00000375 => x"01549663",
387
    00000376 => x"00d00513",
388
    00000377 => x"e09ff0ef",
389
    00000378 => x"00140993",
390
    00000379 => x"00048513",
391
    00000380 => x"f99ff06f",
392
    00000381 => x"fd010113",
393
    00000382 => x"00112623",
394
    00000383 => x"00b12a23",
395
    00000384 => x"00c12c23",
396
    00000385 => x"00d12e23",
397
    00000386 => x"02e12023",
398
    00000387 => x"02f12223",
399
    00000388 => x"03012423",
400
    00000389 => x"03112623",
401
    00000390 => x"e45ff0ef",
402
    00000391 => x"00c12083",
403
    00000392 => x"03010113",
404
    00000393 => x"00008067",
405
    00000394 => x"fe010113",
406
    00000395 => x"00112e23",
407
    00000396 => x"00050613",
408
    00000397 => x"00055863",
409
    00000398 => x"40a00633",
410
    00000399 => x"01061613",
411
    00000400 => x"41065613",
412
    00000401 => x"fe002503",
413
    00000402 => x"3e800593",
414
    00000403 => x"00c12623",
415
    00000404 => x"600000ef",
416
    00000405 => x"00c12603",
417
    00000406 => x"00000593",
418
    00000407 => x"41f65693",
419
    00000408 => x"558000ef",
420
    00000409 => x"01c59593",
421
    00000410 => x"00455513",
422
    00000411 => x"00a5e533",
423
    00000412 => x"00050a63",
424
    00000413 => x"00050863",
425
    00000414 => x"fff50513",
426
    00000415 => x"00000013",
427
    00000416 => x"ff1ff06f",
428
    00000417 => x"01c12083",
429
    00000418 => x"02010113",
430
    00000419 => x"00008067",
431
    00000420 => x"fc010113",
432
    00000421 => x"02112e23",
433
    00000422 => x"02512c23",
434
    00000423 => x"02612a23",
435
    00000424 => x"02712823",
436
    00000425 => x"02a12623",
437
    00000426 => x"02b12423",
438
    00000427 => x"02c12223",
439
    00000428 => x"02d12023",
440
    00000429 => x"00e12e23",
441
    00000430 => x"00f12c23",
442
    00000431 => x"01012a23",
443
    00000432 => x"01112823",
444
    00000433 => x"01c12623",
445
    00000434 => x"01d12423",
446
    00000435 => x"01e12223",
447
    00000436 => x"01f12023",
448
    00000437 => x"34102773",
449
    00000438 => x"34071073",
450
    00000439 => x"342027f3",
451
    00000440 => x"0807ca63",
452
    00000441 => x"00071683",
453
    00000442 => x"00300593",
454
    00000443 => x"0036f693",
455
    00000444 => x"00270613",
456
    00000445 => x"00b69463",
457
    00000446 => x"00470613",
458
    00000447 => x"34161073",
459
    00000448 => x"00b00713",
460
    00000449 => x"04f77c63",
461
    00000450 => x"000017b7",
462
    00000451 => x"90c78793",
463
    00000452 => x"000780e7",
464
    00000453 => x"03c12083",
465
    00000454 => x"03812283",
466
    00000455 => x"03412303",
467
    00000456 => x"03012383",
468
    00000457 => x"02c12503",
469
    00000458 => x"02812583",
470
    00000459 => x"02412603",
471
    00000460 => x"02012683",
472
    00000461 => x"01c12703",
473
    00000462 => x"01812783",
474
    00000463 => x"01412803",
475
    00000464 => x"01012883",
476
    00000465 => x"00c12e03",
477
    00000466 => x"00812e83",
478
    00000467 => x"00412f03",
479
    00000468 => x"00012f83",
480
    00000469 => x"04010113",
481
    00000470 => x"30200073",
482
    00000471 => x"00001737",
483
    00000472 => x"00279793",
484
    00000473 => x"d5c70713",
485
    00000474 => x"00e787b3",
486
    00000475 => x"0007a783",
487
    00000476 => x"00078067",
488
    00000477 => x"80000737",
489
    00000478 => x"00e787b3",
490
    00000479 => x"01f00713",
491
    00000480 => x"f8f764e3",
492
    00000481 => x"00001737",
493
    00000482 => x"00279793",
494
    00000483 => x"d8c70713",
495
    00000484 => x"00e787b3",
496
    00000485 => x"0007a783",
497
    00000486 => x"00078067",
498
    00000487 => x"800007b7",
499
    00000488 => x"0007a783",
500
    00000489 => x"f6dff06f",
501
    00000490 => x"800007b7",
502
    00000491 => x"0047a783",
503
    00000492 => x"f61ff06f",
504
    00000493 => x"800007b7",
505
    00000494 => x"0087a783",
506
    00000495 => x"f55ff06f",
507
    00000496 => x"800007b7",
508
    00000497 => x"00c7a783",
509
    00000498 => x"f49ff06f",
510
    00000499 => x"8101a783",
511
    00000500 => x"f41ff06f",
512
    00000501 => x"8141a783",
513
    00000502 => x"f39ff06f",
514
    00000503 => x"8181a783",
515
    00000504 => x"f31ff06f",
516
    00000505 => x"81c1a783",
517
    00000506 => x"f29ff06f",
518
    00000507 => x"8201a783",
519
    00000508 => x"f21ff06f",
520
    00000509 => x"8241a783",
521
    00000510 => x"f19ff06f",
522
    00000511 => x"8281a783",
523
    00000512 => x"f11ff06f",
524
    00000513 => x"82c1a783",
525
    00000514 => x"f09ff06f",
526
    00000515 => x"8301a783",
527
    00000516 => x"f01ff06f",
528
    00000517 => x"8341a783",
529
    00000518 => x"ef9ff06f",
530
    00000519 => x"8381a783",
531
    00000520 => x"ef1ff06f",
532
    00000521 => x"83c1a783",
533
    00000522 => x"ee9ff06f",
534
    00000523 => x"8401a783",
535
    00000524 => x"ee1ff06f",
536
    00000525 => x"8441a783",
537
    00000526 => x"ed9ff06f",
538
    00000527 => x"8481a783",
539
    00000528 => x"ed1ff06f",
540
    00000529 => x"84c1a783",
541
    00000530 => x"ec9ff06f",
542
    00000531 => x"8501a783",
543
    00000532 => x"ec1ff06f",
544
    00000533 => x"8541a783",
545
    00000534 => x"eb9ff06f",
546
    00000535 => x"8581a783",
547
    00000536 => x"eb1ff06f",
548
    00000537 => x"85c1a783",
549
    00000538 => x"ea9ff06f",
550
    00000539 => x"8601a783",
551
    00000540 => x"ea1ff06f",
552
    00000541 => x"8641a783",
553
    00000542 => x"e99ff06f",
554
    00000543 => x"8681a783",
555
    00000544 => x"e91ff06f",
556
    00000545 => x"86c1a783",
557
    00000546 => x"e89ff06f",
558
    00000547 => x"8701a783",
559
    00000548 => x"e81ff06f",
560
    00000549 => x"8741a783",
561
    00000550 => x"e79ff06f",
562
    00000551 => x"fe010113",
563
    00000552 => x"01212823",
564
    00000553 => x"00050913",
565
    00000554 => x"00001537",
566
    00000555 => x"00912a23",
567
    00000556 => x"e0c50513",
568
    00000557 => x"000014b7",
569
    00000558 => x"00812c23",
570
    00000559 => x"01312623",
571
    00000560 => x"00112e23",
572
    00000561 => x"01c00413",
573
    00000562 => x"b91ff0ef",
574
    00000563 => x"08848493",
575
    00000564 => x"ffc00993",
576
    00000565 => x"008957b3",
577
    00000566 => x"00f7f793",
578
    00000567 => x"00f487b3",
579
    00000568 => x"0007c503",
580
    00000569 => x"ffc40413",
581
    00000570 => x"b15ff0ef",
582
    00000571 => x"ff3414e3",
583
    00000572 => x"01c12083",
584
    00000573 => x"01812403",
585
    00000574 => x"01412483",
586
    00000575 => x"01012903",
587
    00000576 => x"00c12983",
588
    00000577 => x"02010113",
589
    00000578 => x"00008067",
590
    00000579 => x"00001537",
591
    00000580 => x"ff010113",
592
    00000581 => x"e1050513",
593
    00000582 => x"00112623",
594
    00000583 => x"00812423",
595
    00000584 => x"00912223",
596
    00000585 => x"b35ff0ef",
597
    00000586 => x"34202473",
598
    00000587 => x"00900713",
599
    00000588 => x"00f47793",
600
    00000589 => x"05778493",
601
    00000590 => x"00f76463",
602
    00000591 => x"03078493",
603
    00000592 => x"00b00793",
604
    00000593 => x"0087ee63",
605
    00000594 => x"00001737",
606
    00000595 => x"00241793",
607
    00000596 => x"f9c70713",
608
    00000597 => x"00e787b3",
609
    00000598 => x"0007a783",
610
    00000599 => x"00078067",
611
    00000600 => x"800007b7",
612
    00000601 => x"00b78713",
613
    00000602 => x"12e40663",
614
    00000603 => x"02876663",
615
    00000604 => x"00378713",
616
    00000605 => x"10e40463",
617
    00000606 => x"00778793",
618
    00000607 => x"10f40663",
619
    00000608 => x"00001537",
620
    00000609 => x"f7050513",
621
    00000610 => x"ad1ff0ef",
622
    00000611 => x"00040513",
623
    00000612 => x"f0dff0ef",
624
    00000613 => x"0380006f",
625
    00000614 => x"ff07c793",
626
    00000615 => x"00f407b3",
627
    00000616 => x"00f00713",
628
    00000617 => x"fcf76ee3",
629
    00000618 => x"00001537",
630
    00000619 => x"f6050513",
631
    00000620 => x"aa9ff0ef",
632
    00000621 => x"00048513",
633
    00000622 => x"a45ff0ef",
634
    00000623 => x"0100006f",
635
    00000624 => x"00001537",
636
    00000625 => x"e1850513",
637
    00000626 => x"a91ff0ef",
638
    00000627 => x"00001537",
639
    00000628 => x"f8850513",
640
    00000629 => x"a85ff0ef",
641
    00000630 => x"34002573",
642
    00000631 => x"ec1ff0ef",
643
    00000632 => x"00001537",
644
    00000633 => x"f9050513",
645
    00000634 => x"a71ff0ef",
646
    00000635 => x"34302573",
647
    00000636 => x"eadff0ef",
648
    00000637 => x"00812403",
649
    00000638 => x"00c12083",
650
    00000639 => x"00412483",
651
    00000640 => x"00001537",
652
    00000641 => x"ff850513",
653
    00000642 => x"01010113",
654
    00000643 => x"a4dff06f",
655
    00000644 => x"00001537",
656
    00000645 => x"e3850513",
657
    00000646 => x"fb1ff06f",
658
    00000647 => x"00001537",
659
    00000648 => x"e5450513",
660
    00000649 => x"fa5ff06f",
661
    00000650 => x"00001537",
662
    00000651 => x"e6850513",
663
    00000652 => x"f99ff06f",
664
    00000653 => x"00001537",
665
    00000654 => x"e7450513",
666
    00000655 => x"f8dff06f",
667
    00000656 => x"00001537",
668
    00000657 => x"e8c50513",
669
    00000658 => x"f81ff06f",
670
    00000659 => x"00001537",
671
    00000660 => x"ea050513",
672
    00000661 => x"f75ff06f",
673
    00000662 => x"00001537",
674
    00000663 => x"ebc50513",
675
    00000664 => x"f69ff06f",
676
    00000665 => x"00001537",
677
    00000666 => x"ed050513",
678
    00000667 => x"f5dff06f",
679
    00000668 => x"00001537",
680
    00000669 => x"ef050513",
681
    00000670 => x"f51ff06f",
682
    00000671 => x"00001537",
683
    00000672 => x"f1050513",
684
    00000673 => x"f45ff06f",
685
    00000674 => x"00001537",
686
    00000675 => x"f2c50513",
687
    00000676 => x"f39ff06f",
688
    00000677 => x"00001537",
689
    00000678 => x"f4450513",
690
    00000679 => x"f2dff06f",
691
    00000680 => x"01f00793",
692
    00000681 => x"02a7e463",
693
    00000682 => x"800007b7",
694
    00000683 => x"00078793",
695
    00000684 => x"00251513",
696
    00000685 => x"00a78533",
697
    00000686 => x"000017b7",
698
    00000687 => x"90c78793",
699
    00000688 => x"00f52023",
700
    00000689 => x"00000513",
701
    00000690 => x"00008067",
702
    00000691 => x"00100513",
703
    00000692 => x"00008067",
704
    00000693 => x"ff010113",
705
    00000694 => x"00112623",
706
    00000695 => x"00812423",
707
    00000696 => x"00912223",
708
    00000697 => x"301027f3",
709
    00000698 => x"00079863",
710
    00000699 => x"00001537",
711
    00000700 => x"fcc50513",
712
    00000701 => x"965ff0ef",
713
    00000702 => x"69000793",
714
    00000703 => x"30579073",
715
    00000704 => x"00000413",
716
    00000705 => x"01e00493",
717
    00000706 => x"00040513",
718
    00000707 => x"00140413",
719
    00000708 => x"0ff47413",
720
    00000709 => x"f8dff0ef",
721
    00000710 => x"fe9418e3",
722
    00000711 => x"00c12083",
723
    00000712 => x"00812403",
724
    00000713 => x"00412483",
725
    00000714 => x"01010113",
726
    00000715 => x"00008067",
727
    00000716 => x"ff010113",
728
    00000717 => x"00112623",
729
    00000718 => x"00812423",
730
    00000719 => x"30102673",
731
    00000720 => x"400005b7",
732
    00000721 => x"10058593",
733
    00000722 => x"00b677b3",
734
    00000723 => x"00000413",
735
    00000724 => x"00b78c63",
736
    00000725 => x"00100413",
737
    00000726 => x"00051863",
738
    00000727 => x"00001537",
739
    00000728 => x"00050513",
740
    00000729 => x"a91ff0ef",
741
    00000730 => x"00c12083",
742
    00000731 => x"00040513",
743
    00000732 => x"00812403",
744
    00000733 => x"01010113",
745
    00000734 => x"00008067",
746
    00000735 => x"fe802503",
747
    00000736 => x"01055513",
748
    00000737 => x"00157513",
749
    00000738 => x"00008067",
750
    00000739 => x"f8a02223",
751
    00000740 => x"00008067",
752
    00000741 => x"00050613",
753
    00000742 => x"00000513",
754
    00000743 => x"0015f693",
755
    00000744 => x"00068463",
756
    00000745 => x"00c50533",
757
    00000746 => x"0015d593",
758
    00000747 => x"00161613",
759
    00000748 => x"fe0596e3",
760
    00000749 => x"00008067",
761
    00000750 => x"00050313",
762
    00000751 => x"ff010113",
763
    00000752 => x"00060513",
764
    00000753 => x"00068893",
765
    00000754 => x"00112623",
766
    00000755 => x"00030613",
767
    00000756 => x"00050693",
768
    00000757 => x"00000713",
769
    00000758 => x"00000793",
770
    00000759 => x"00000813",
771
    00000760 => x"0016fe13",
772
    00000761 => x"00171e93",
773
    00000762 => x"000e0c63",
774
    00000763 => x"01060e33",
775
    00000764 => x"010e3833",
776
    00000765 => x"00e787b3",
777
    00000766 => x"00f807b3",
778
    00000767 => x"000e0813",
779
    00000768 => x"01f65713",
780
    00000769 => x"0016d693",
781
    00000770 => x"00eee733",
782
    00000771 => x"00161613",
783
    00000772 => x"fc0698e3",
784
    00000773 => x"00058663",
785
    00000774 => x"f7dff0ef",
786
    00000775 => x"00a787b3",
787
    00000776 => x"00088a63",
788
    00000777 => x"00030513",
789
    00000778 => x"00088593",
790
    00000779 => x"f69ff0ef",
791
    00000780 => x"00f507b3",
792
    00000781 => x"00c12083",
793
    00000782 => x"00080513",
794
    00000783 => x"00078593",
795
    00000784 => x"01010113",
796
    00000785 => x"00008067",
797
    00000786 => x"06054063",
798
    00000787 => x"0605c663",
799
    00000788 => x"00058613",
800
    00000789 => x"00050593",
801
    00000790 => x"fff00513",
802
    00000791 => x"02060c63",
803
    00000792 => x"00100693",
804
    00000793 => x"00b67a63",
805
    00000794 => x"00c05863",
806
    00000795 => x"00161613",
807
    00000796 => x"00169693",
808
    00000797 => x"feb66ae3",
809
    00000798 => x"00000513",
810
    00000799 => x"00c5e663",
811
    00000800 => x"40c585b3",
812
    00000801 => x"00d56533",
813
    00000802 => x"0016d693",
814
    00000803 => x"00165613",
815
    00000804 => x"fe0696e3",
816
    00000805 => x"00008067",
817
    00000806 => x"00008293",
818
    00000807 => x"fb5ff0ef",
819
    00000808 => x"00058513",
820
    00000809 => x"00028067",
821
    00000810 => x"40a00533",
822
    00000811 => x"00b04863",
823
    00000812 => x"40b005b3",
824
    00000813 => x"f9dff06f",
825
    00000814 => x"40b005b3",
826
    00000815 => x"00008293",
827
    00000816 => x"f91ff0ef",
828
    00000817 => x"40a00533",
829
    00000818 => x"00028067",
830
    00000819 => x"00008293",
831
    00000820 => x"0005ca63",
832
    00000821 => x"00054c63",
833
    00000822 => x"f79ff0ef",
834
    00000823 => x"00058513",
835
    00000824 => x"00028067",
836
    00000825 => x"40b005b3",
837
    00000826 => x"fe0558e3",
838
    00000827 => x"40a00533",
839
    00000828 => x"f61ff0ef",
840
    00000829 => x"40b00533",
841 56 zero_gravi
    00000830 => x"00028067",
842 58 zero_gravi
    00000831 => x"6f727245",
843
    00000832 => x"4e202172",
844
    00000833 => x"5047206f",
845
    00000834 => x"75204f49",
846
    00000835 => x"2074696e",
847
    00000836 => x"746e7973",
848
    00000837 => x"69736568",
849
    00000838 => x"2164657a",
850
    00000839 => x"0000000a",
851
    00000840 => x"6e696c42",
852
    00000841 => x"676e696b",
853
    00000842 => x"44454c20",
854
    00000843 => x"6d656420",
855
    00000844 => x"7270206f",
856
    00000845 => x"6172676f",
857
    00000846 => x"00000a6d",
858
    00000847 => x"33323130",
859
    00000848 => x"37363534",
860
    00000849 => x"00003938",
861
    00000850 => x"33323130",
862
    00000851 => x"37363534",
863
    00000852 => x"62613938",
864
    00000853 => x"66656463",
865
    00000854 => x"00000000",
866
    00000855 => x"0000079c",
867
    00000856 => x"000007a8",
868
    00000857 => x"000007b4",
869
    00000858 => x"000007c0",
870
    00000859 => x"000007cc",
871
    00000860 => x"000007d4",
872
    00000861 => x"000007dc",
873
    00000862 => x"000007e4",
874
    00000863 => x"000007ec",
875
    00000864 => x"00000708",
876
    00000865 => x"00000708",
877
    00000866 => x"000007f4",
878
    00000867 => x"000007fc",
879
    00000868 => x"00000708",
880
    00000869 => x"00000708",
881
    00000870 => x"00000804",
882
    00000871 => x"00000708",
883
    00000872 => x"00000708",
884
    00000873 => x"00000708",
885
    00000874 => x"0000080c",
886
    00000875 => x"00000708",
887
    00000876 => x"00000708",
888
    00000877 => x"00000708",
889
    00000878 => x"00000814",
890
    00000879 => x"00000708",
891
    00000880 => x"00000708",
892
    00000881 => x"00000708",
893
    00000882 => x"00000708",
894
    00000883 => x"0000081c",
895
    00000884 => x"00000824",
896
    00000885 => x"0000082c",
897
    00000886 => x"00000834",
898
    00000887 => x"0000083c",
899
    00000888 => x"00000844",
900
    00000889 => x"0000084c",
901
    00000890 => x"00000854",
902
    00000891 => x"0000085c",
903
    00000892 => x"00000864",
904
    00000893 => x"0000086c",
905
    00000894 => x"00000874",
906
    00000895 => x"0000087c",
907
    00000896 => x"00000884",
908
    00000897 => x"0000088c",
909
    00000898 => x"00000894",
910
    00000899 => x"00007830",
911
    00000900 => x"4554523c",
912
    00000901 => x"0000203e",
913
    00000902 => x"74736e49",
914
    00000903 => x"74637572",
915
    00000904 => x"206e6f69",
916
    00000905 => x"72646461",
917
    00000906 => x"20737365",
918
    00000907 => x"6173696d",
919
    00000908 => x"6e67696c",
920
    00000909 => x"00006465",
921
    00000910 => x"74736e49",
922
    00000911 => x"74637572",
923
    00000912 => x"206e6f69",
924
    00000913 => x"65636361",
925
    00000914 => x"66207373",
926
    00000915 => x"746c7561",
927
    00000916 => x"00000000",
928
    00000917 => x"656c6c49",
929
    00000918 => x"206c6167",
930
    00000919 => x"74736e69",
931
    00000920 => x"74637572",
932
    00000921 => x"006e6f69",
933
    00000922 => x"61657242",
934
    00000923 => x"696f706b",
935
    00000924 => x"0000746e",
936
    00000925 => x"64616f4c",
937
    00000926 => x"64646120",
938
    00000927 => x"73736572",
939
    00000928 => x"73696d20",
940
    00000929 => x"67696c61",
941
    00000930 => x"0064656e",
942
    00000931 => x"64616f4c",
943
    00000932 => x"63636120",
944
    00000933 => x"20737365",
945
    00000934 => x"6c756166",
946
    00000935 => x"00000074",
947
    00000936 => x"726f7453",
948
    00000937 => x"64612065",
949
    00000938 => x"73657264",
950
    00000939 => x"696d2073",
951
    00000940 => x"696c6173",
952
    00000941 => x"64656e67",
953
    00000942 => x"00000000",
954
    00000943 => x"726f7453",
955
    00000944 => x"63612065",
956
    00000945 => x"73736563",
957
    00000946 => x"75616620",
958
    00000947 => x"0000746c",
959
    00000948 => x"69766e45",
960
    00000949 => x"6d6e6f72",
961
    00000950 => x"20746e65",
962
    00000951 => x"6c6c6163",
963
    00000952 => x"6f726620",
964
    00000953 => x"2d55206d",
965
    00000954 => x"65646f6d",
966
    00000955 => x"00000000",
967
    00000956 => x"69766e45",
968
    00000957 => x"6d6e6f72",
969
    00000958 => x"20746e65",
970
    00000959 => x"6c6c6163",
971
    00000960 => x"6f726620",
972
    00000961 => x"2d4d206d",
973
    00000962 => x"65646f6d",
974
    00000963 => x"00000000",
975
    00000964 => x"6863614d",
976
    00000965 => x"20656e69",
977
    00000966 => x"74666f73",
978
    00000967 => x"65726177",
979
    00000968 => x"746e6920",
980
    00000969 => x"75727265",
981
    00000970 => x"00007470",
982
    00000971 => x"6863614d",
983
    00000972 => x"20656e69",
984
    00000973 => x"656d6974",
985
    00000974 => x"6e692072",
986
    00000975 => x"72726574",
987
    00000976 => x"00747075",
988
    00000977 => x"6863614d",
989
    00000978 => x"20656e69",
990
    00000979 => x"65747865",
991
    00000980 => x"6c616e72",
992
    00000981 => x"746e6920",
993
    00000982 => x"75727265",
994
    00000983 => x"00007470",
995
    00000984 => x"74736146",
996
    00000985 => x"746e6920",
997
    00000986 => x"75727265",
998
    00000987 => x"00207470",
999
    00000988 => x"6e6b6e55",
1000
    00000989 => x"206e776f",
1001
    00000990 => x"70617274",
1002
    00000991 => x"75616320",
1003
    00000992 => x"203a6573",
1004
    00000993 => x"00000000",
1005
    00000994 => x"50204020",
1006
    00000995 => x"00003d43",
1007
    00000996 => x"544d202c",
1008
    00000997 => x"3d4c4156",
1009
    00000998 => x"00000000",
1010
    00000999 => x"000009c0",
1011
    00001000 => x"00000a10",
1012
    00001001 => x"00000a1c",
1013
    00001002 => x"00000a28",
1014
    00001003 => x"00000a34",
1015
    00001004 => x"00000a40",
1016
    00001005 => x"00000a4c",
1017
    00001006 => x"00000a58",
1018
    00001007 => x"00000a64",
1019
    00001008 => x"00000980",
1020
    00001009 => x"00000980",
1021
    00001010 => x"00000a70",
1022
    00001011 => x"4554523c",
1023
    00001012 => x"4157203e",
1024
    00001013 => x"4e494e52",
1025
    00001014 => x"43202147",
1026
    00001015 => x"43205550",
1027
    00001016 => x"73205253",
1028
    00001017 => x"65747379",
1029
    00001018 => x"6f6e206d",
1030
    00001019 => x"76612074",
1031
    00001020 => x"616c6961",
1032
    00001021 => x"21656c62",
1033
    00001022 => x"522f3c20",
1034
    00001023 => x"003e4554",
1035
    00001024 => x"5241570a",
1036
    00001025 => x"474e494e",
1037
    00001026 => x"57532021",
1038
    00001027 => x"4153495f",
1039
    00001028 => x"65662820",
1040
    00001029 => x"72757461",
1041
    00001030 => x"72207365",
1042
    00001031 => x"69757165",
1043
    00001032 => x"29646572",
1044
    00001033 => x"20737620",
1045
    00001034 => x"495f5748",
1046
    00001035 => x"28204153",
1047
    00001036 => x"74616566",
1048
    00001037 => x"73657275",
1049
    00001038 => x"61766120",
1050
    00001039 => x"62616c69",
1051
    00001040 => x"2029656c",
1052
    00001041 => x"6d73696d",
1053
    00001042 => x"68637461",
1054
    00001043 => x"57530a21",
1055
    00001044 => x"4153495f",
1056
    00001045 => x"30203d20",
1057
    00001046 => x"20782578",
1058
    00001047 => x"6d6f6328",
1059
    00001048 => x"656c6970",
1060
    00001049 => x"6c662072",
1061
    00001050 => x"29736761",
1062
    00001051 => x"5f57480a",
1063
    00001052 => x"20415349",
1064
    00001053 => x"7830203d",
1065
    00001054 => x"28207825",
1066
    00001055 => x"6173696d",
1067
    00001056 => x"72736320",
1068
    00001057 => x"000a0a29",
1069
    00001058 => x"33323130",
1070
    00001059 => x"37363534",
1071
    00001060 => x"42413938",
1072
    00001061 => x"46454443",
1073 2 zero_gravi
    others   => x"00000000"
1074
  );
1075
 
1076
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.