OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32
2 47 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 64 zero_gravi
-- Size: 3320 bytes
4 2 zero_gravi
 
5
library ieee;
6
use ieee.std_logic_1164.all;
7
 
8 61 zero_gravi
library neorv32;
9
use neorv32.neorv32_package.all;
10
 
11 2 zero_gravi
package neorv32_application_image is
12
 
13 61 zero_gravi
  constant application_init_image : mem32_t := (
14 62 zero_gravi
    00000000 => x"00000037",
15 61 zero_gravi
    00000001 => x"80002117",
16
    00000002 => x"ff810113",
17
    00000003 => x"80000197",
18
    00000004 => x"7f418193",
19
    00000005 => x"00000517",
20
    00000006 => x"12050513",
21
    00000007 => x"30551073",
22
    00000008 => x"34151073",
23
    00000009 => x"30001073",
24
    00000010 => x"30401073",
25
    00000011 => x"30601073",
26
    00000012 => x"ffa00593",
27
    00000013 => x"32059073",
28
    00000014 => x"b0001073",
29
    00000015 => x"b8001073",
30
    00000016 => x"b0201073",
31
    00000017 => x"b8201073",
32
    00000018 => x"00000093",
33
    00000019 => x"00000213",
34
    00000020 => x"00000293",
35
    00000021 => x"00000313",
36
    00000022 => x"00000393",
37
    00000023 => x"00000713",
38
    00000024 => x"00000793",
39
    00000025 => x"00000813",
40
    00000026 => x"00000893",
41
    00000027 => x"00000913",
42
    00000028 => x"00000993",
43
    00000029 => x"00000a13",
44
    00000030 => x"00000a93",
45
    00000031 => x"00000b13",
46
    00000032 => x"00000b93",
47
    00000033 => x"00000c13",
48
    00000034 => x"00000c93",
49
    00000035 => x"00000d13",
50
    00000036 => x"00000d93",
51
    00000037 => x"00000e13",
52
    00000038 => x"00000e93",
53
    00000039 => x"00000f13",
54
    00000040 => x"00000f93",
55
    00000041 => x"00000417",
56
    00000042 => x"d5c40413",
57
    00000043 => x"00000497",
58
    00000044 => x"f5448493",
59
    00000045 => x"00042023",
60
    00000046 => x"00440413",
61
    00000047 => x"fe941ce3",
62
    00000048 => x"80000597",
63
    00000049 => x"f4058593",
64 64 zero_gravi
    00000050 => x"87418613",
65 61 zero_gravi
    00000051 => x"00c5d863",
66
    00000052 => x"00058023",
67
    00000053 => x"00158593",
68
    00000054 => x"ff5ff06f",
69
    00000055 => x"00001597",
70 64 zero_gravi
    00000056 => x"c1c58593",
71 61 zero_gravi
    00000057 => x"80000617",
72
    00000058 => x"f1c60613",
73
    00000059 => x"80000697",
74
    00000060 => x"f1468693",
75
    00000061 => x"00d65c63",
76
    00000062 => x"00058703",
77
    00000063 => x"00e60023",
78
    00000064 => x"00158593",
79
    00000065 => x"00160613",
80
    00000066 => x"fedff06f",
81
    00000067 => x"00000513",
82
    00000068 => x"00000593",
83
    00000069 => x"06c000ef",
84
    00000070 => x"34051073",
85
    00000071 => x"00000093",
86
    00000072 => x"00008463",
87
    00000073 => x"000080e7",
88
    00000074 => x"30047073",
89
    00000075 => x"10500073",
90
    00000076 => x"ffdff06f",
91
    00000077 => x"ff810113",
92
    00000078 => x"00812023",
93
    00000079 => x"00912223",
94
    00000080 => x"34202473",
95
    00000081 => x"02044663",
96
    00000082 => x"34102473",
97
    00000083 => x"00041483",
98
    00000084 => x"0034f493",
99
    00000085 => x"00240413",
100
    00000086 => x"34141073",
101
    00000087 => x"00300413",
102
    00000088 => x"00941863",
103
    00000089 => x"34102473",
104
    00000090 => x"00240413",
105
    00000091 => x"34141073",
106
    00000092 => x"00012403",
107
    00000093 => x"00412483",
108
    00000094 => x"00810113",
109
    00000095 => x"30200073",
110
    00000096 => x"00005537",
111
    00000097 => x"ff010113",
112
    00000098 => x"00000613",
113
    00000099 => x"00000593",
114
    00000100 => x"b0050513",
115
    00000101 => x"00112623",
116 65 zero_gravi
    00000102 => x"088000ef",
117 64 zero_gravi
    00000103 => x"718000ef",
118 61 zero_gravi
    00000104 => x"00050c63",
119 64 zero_gravi
    00000105 => x"6c8000ef",
120 61 zero_gravi
    00000106 => x"00001537",
121 64 zero_gravi
    00000107 => x"a6050513",
122 65 zero_gravi
    00000108 => x"118000ef",
123 61 zero_gravi
    00000109 => x"020000ef",
124 60 zero_gravi
    00000110 => x"00001537",
125 64 zero_gravi
    00000111 => x"a3c50513",
126 65 zero_gravi
    00000112 => x"108000ef",
127 61 zero_gravi
    00000113 => x"00c12083",
128
    00000114 => x"00100513",
129
    00000115 => x"01010113",
130
    00000116 => x"00008067",
131
    00000117 => x"ff010113",
132 60 zero_gravi
    00000118 => x"00000513",
133 61 zero_gravi
    00000119 => x"00000593",
134
    00000120 => x"00112623",
135
    00000121 => x"00812423",
136 64 zero_gravi
    00000122 => x"6dc000ef",
137 61 zero_gravi
    00000123 => x"00000513",
138
    00000124 => x"00150413",
139
    00000125 => x"00000593",
140
    00000126 => x"0ff57513",
141 64 zero_gravi
    00000127 => x"6c8000ef",
142 61 zero_gravi
    00000128 => x"0c800513",
143 65 zero_gravi
    00000129 => x"148000ef",
144 61 zero_gravi
    00000130 => x"00040513",
145
    00000131 => x"fe5ff06f",
146
    00000132 => x"fe802503",
147
    00000133 => x"01255513",
148
    00000134 => x"00157513",
149
    00000135 => x"00008067",
150
    00000136 => x"fa002023",
151
    00000137 => x"fe002703",
152
    00000138 => x"00151513",
153
    00000139 => x"00000793",
154
    00000140 => x"04a77463",
155
    00000141 => x"000016b7",
156
    00000142 => x"00000713",
157
    00000143 => x"ffe68693",
158
    00000144 => x"04f6e663",
159
    00000145 => x"00367613",
160
    00000146 => x"0035f593",
161
    00000147 => x"fff78793",
162
    00000148 => x"01461613",
163
    00000149 => x"00c7e7b3",
164
    00000150 => x"01659593",
165
    00000151 => x"01871713",
166
    00000152 => x"00b7e7b3",
167
    00000153 => x"00e7e7b3",
168
    00000154 => x"10000737",
169
    00000155 => x"00e7e7b3",
170
    00000156 => x"faf02023",
171
    00000157 => x"00008067",
172
    00000158 => x"00178793",
173
    00000159 => x"01079793",
174
    00000160 => x"40a70733",
175
    00000161 => x"0107d793",
176
    00000162 => x"fa9ff06f",
177
    00000163 => x"ffe70513",
178
    00000164 => x"0fd57513",
179
    00000165 => x"00051a63",
180
    00000166 => x"0037d793",
181
    00000167 => x"00170713",
182
    00000168 => x"0ff77713",
183
    00000169 => x"f9dff06f",
184
    00000170 => x"0017d793",
185
    00000171 => x"ff1ff06f",
186 65 zero_gravi
    00000172 => x"00040737",
187 61 zero_gravi
    00000173 => x"fa002783",
188 65 zero_gravi
    00000174 => x"00e7f7b3",
189
    00000175 => x"fe079ce3",
190
    00000176 => x"faa02223",
191
    00000177 => x"00008067",
192 61 zero_gravi
    00000178 => x"ff010113",
193
    00000179 => x"00812423",
194
    00000180 => x"01212023",
195
    00000181 => x"00112623",
196
    00000182 => x"00912223",
197
    00000183 => x"00050413",
198
    00000184 => x"00a00913",
199
    00000185 => x"00044483",
200
    00000186 => x"00140413",
201
    00000187 => x"00049e63",
202
    00000188 => x"00c12083",
203
    00000189 => x"00812403",
204
    00000190 => x"00412483",
205
    00000191 => x"00012903",
206
    00000192 => x"01010113",
207
    00000193 => x"00008067",
208
    00000194 => x"01249663",
209
    00000195 => x"00d00513",
210 65 zero_gravi
    00000196 => x"fa1ff0ef",
211 61 zero_gravi
    00000197 => x"00048513",
212 65 zero_gravi
    00000198 => x"f99ff0ef",
213 61 zero_gravi
    00000199 => x"fc9ff06f",
214 65 zero_gravi
    00000200 => x"ff010113",
215
    00000201 => x"c81026f3",
216
    00000202 => x"c0102773",
217
    00000203 => x"c81027f3",
218
    00000204 => x"fed79ae3",
219
    00000205 => x"00e12023",
220
    00000206 => x"00f12223",
221
    00000207 => x"00012503",
222
    00000208 => x"00412583",
223
    00000209 => x"01010113",
224
    00000210 => x"00008067",
225
    00000211 => x"fd010113",
226
    00000212 => x"00a12623",
227
    00000213 => x"fe002503",
228
    00000214 => x"3e800593",
229
    00000215 => x"02112623",
230
    00000216 => x"02812423",
231
    00000217 => x"02912223",
232
    00000218 => x"03212023",
233
    00000219 => x"01312e23",
234
    00000220 => x"620000ef",
235
    00000221 => x"00c12603",
236
    00000222 => x"00000693",
237
    00000223 => x"00000593",
238
    00000224 => x"578000ef",
239
    00000225 => x"00050413",
240
    00000226 => x"00058993",
241
    00000227 => x"f95ff0ef",
242
    00000228 => x"00058913",
243
    00000229 => x"00050493",
244
    00000230 => x"f89ff0ef",
245
    00000231 => x"00b96663",
246
    00000232 => x"05259263",
247
    00000233 => x"04a4f063",
248
    00000234 => x"008484b3",
249
    00000235 => x"0084b433",
250
    00000236 => x"01390933",
251
    00000237 => x"01240433",
252
    00000238 => x"f69ff0ef",
253
    00000239 => x"fe85eee3",
254
    00000240 => x"00b41463",
255
    00000241 => x"fe956ae3",
256
    00000242 => x"02c12083",
257
    00000243 => x"02812403",
258
    00000244 => x"02412483",
259
    00000245 => x"02012903",
260
    00000246 => x"01c12983",
261
    00000247 => x"03010113",
262
    00000248 => x"00008067",
263
    00000249 => x"01c99913",
264
    00000250 => x"00445413",
265
    00000251 => x"00896433",
266
    00000252 => x"00040a63",
267
    00000253 => x"00040863",
268
    00000254 => x"fff40413",
269
    00000255 => x"00000013",
270
    00000256 => x"ff1ff06f",
271
    00000257 => x"fc5ff06f",
272
    00000258 => x"00000000",
273 64 zero_gravi
    00000259 => x"00000000",
274
    00000260 => x"fc010113",
275
    00000261 => x"02112e23",
276
    00000262 => x"02512c23",
277
    00000263 => x"02612a23",
278
    00000264 => x"02712823",
279
    00000265 => x"02a12623",
280
    00000266 => x"02b12423",
281
    00000267 => x"02c12223",
282
    00000268 => x"02d12023",
283
    00000269 => x"00e12e23",
284
    00000270 => x"00f12c23",
285
    00000271 => x"01012a23",
286
    00000272 => x"01112823",
287
    00000273 => x"01c12623",
288
    00000274 => x"01d12423",
289
    00000275 => x"01e12223",
290
    00000276 => x"01f12023",
291
    00000277 => x"34102773",
292
    00000278 => x"34071073",
293
    00000279 => x"342027f3",
294
    00000280 => x"0807c863",
295
    00000281 => x"00071683",
296
    00000282 => x"00300593",
297
    00000283 => x"0036f693",
298
    00000284 => x"00270613",
299
    00000285 => x"00b69463",
300
    00000286 => x"00470613",
301
    00000287 => x"34161073",
302
    00000288 => x"00b00713",
303
    00000289 => x"04f77a63",
304
    00000290 => x"68c00793",
305
    00000291 => x"000780e7",
306
    00000292 => x"03c12083",
307
    00000293 => x"03812283",
308
    00000294 => x"03412303",
309
    00000295 => x"03012383",
310
    00000296 => x"02c12503",
311
    00000297 => x"02812583",
312
    00000298 => x"02412603",
313
    00000299 => x"02012683",
314
    00000300 => x"01c12703",
315
    00000301 => x"01812783",
316
    00000302 => x"01412803",
317
    00000303 => x"01012883",
318
    00000304 => x"00c12e03",
319
    00000305 => x"00812e83",
320
    00000306 => x"00412f03",
321
    00000307 => x"00012f83",
322
    00000308 => x"04010113",
323
    00000309 => x"30200073",
324
    00000310 => x"00001737",
325
    00000311 => x"00279793",
326
    00000312 => x"a7c70713",
327
    00000313 => x"00e787b3",
328
    00000314 => x"0007a783",
329
    00000315 => x"00078067",
330
    00000316 => x"80000737",
331
    00000317 => x"ffd74713",
332
    00000318 => x"00e787b3",
333
    00000319 => x"01c00713",
334
    00000320 => x"f8f764e3",
335
    00000321 => x"00001737",
336
    00000322 => x"00279793",
337
    00000323 => x"aac70713",
338
    00000324 => x"00e787b3",
339
    00000325 => x"0007a783",
340
    00000326 => x"00078067",
341
    00000327 => x"800007b7",
342
    00000328 => x"0007a783",
343
    00000329 => x"f69ff06f",
344
    00000330 => x"800007b7",
345
    00000331 => x"0047a783",
346
    00000332 => x"f5dff06f",
347
    00000333 => x"800007b7",
348
    00000334 => x"0087a783",
349
    00000335 => x"f51ff06f",
350
    00000336 => x"800007b7",
351
    00000337 => x"00c7a783",
352
    00000338 => x"f45ff06f",
353
    00000339 => x"8101a783",
354
    00000340 => x"f3dff06f",
355
    00000341 => x"8141a783",
356
    00000342 => x"f35ff06f",
357
    00000343 => x"8181a783",
358
    00000344 => x"f2dff06f",
359
    00000345 => x"81c1a783",
360
    00000346 => x"f25ff06f",
361
    00000347 => x"8201a783",
362
    00000348 => x"f1dff06f",
363
    00000349 => x"8241a783",
364
    00000350 => x"f15ff06f",
365
    00000351 => x"8281a783",
366
    00000352 => x"f0dff06f",
367
    00000353 => x"82c1a783",
368
    00000354 => x"f05ff06f",
369
    00000355 => x"8301a783",
370
    00000356 => x"efdff06f",
371
    00000357 => x"8341a783",
372
    00000358 => x"ef5ff06f",
373
    00000359 => x"8381a783",
374
    00000360 => x"eedff06f",
375
    00000361 => x"83c1a783",
376
    00000362 => x"ee5ff06f",
377
    00000363 => x"8401a783",
378
    00000364 => x"eddff06f",
379
    00000365 => x"8441a783",
380
    00000366 => x"ed5ff06f",
381
    00000367 => x"8481a783",
382
    00000368 => x"ecdff06f",
383
    00000369 => x"84c1a783",
384
    00000370 => x"ec5ff06f",
385
    00000371 => x"8501a783",
386
    00000372 => x"ebdff06f",
387
    00000373 => x"8541a783",
388
    00000374 => x"eb5ff06f",
389
    00000375 => x"8581a783",
390
    00000376 => x"eadff06f",
391
    00000377 => x"85c1a783",
392
    00000378 => x"ea5ff06f",
393
    00000379 => x"8601a783",
394
    00000380 => x"e9dff06f",
395
    00000381 => x"8641a783",
396
    00000382 => x"e95ff06f",
397
    00000383 => x"8681a783",
398
    00000384 => x"e8dff06f",
399
    00000385 => x"86c1a783",
400
    00000386 => x"e85ff06f",
401
    00000387 => x"8701a783",
402
    00000388 => x"e7dff06f",
403
    00000389 => x"00000000",
404
    00000390 => x"00000000",
405
    00000391 => x"fe010113",
406
    00000392 => x"01212823",
407
    00000393 => x"00050913",
408
    00000394 => x"00001537",
409
    00000395 => x"00912a23",
410
    00000396 => x"b2050513",
411
    00000397 => x"000014b7",
412
    00000398 => x"00812c23",
413
    00000399 => x"01312623",
414
    00000400 => x"00112e23",
415
    00000401 => x"01c00413",
416
    00000402 => x"c81ff0ef",
417
    00000403 => x"ce848493",
418
    00000404 => x"ffc00993",
419
    00000405 => x"008957b3",
420
    00000406 => x"00f7f793",
421
    00000407 => x"00f487b3",
422
    00000408 => x"0007c503",
423
    00000409 => x"ffc40413",
424 65 zero_gravi
    00000410 => x"c49ff0ef",
425 64 zero_gravi
    00000411 => x"ff3414e3",
426
    00000412 => x"01c12083",
427
    00000413 => x"01812403",
428
    00000414 => x"01412483",
429
    00000415 => x"01012903",
430
    00000416 => x"00c12983",
431
    00000417 => x"02010113",
432
    00000418 => x"00008067",
433
    00000419 => x"ff010113",
434
    00000420 => x"00112623",
435
    00000421 => x"00812423",
436
    00000422 => x"00912223",
437
    00000423 => x"b75ff0ef",
438
    00000424 => x"18050463",
439
    00000425 => x"00001537",
440
    00000426 => x"b2450513",
441 65 zero_gravi
    00000427 => x"c1dff0ef",
442 64 zero_gravi
    00000428 => x"34202473",
443
    00000429 => x"00900713",
444
    00000430 => x"00f47793",
445
    00000431 => x"03078493",
446
    00000432 => x"00f77463",
447
    00000433 => x"05778493",
448
    00000434 => x"00b00793",
449
    00000435 => x"0087ee63",
450
    00000436 => x"00001737",
451
    00000437 => x"00241793",
452
    00000438 => x"cb870713",
453
    00000439 => x"00e787b3",
454
    00000440 => x"0007a783",
455
    00000441 => x"00078067",
456
    00000442 => x"800007b7",
457
    00000443 => x"00b78713",
458
    00000444 => x"12e40663",
459
    00000445 => x"02876663",
460
    00000446 => x"00378713",
461
    00000447 => x"10e40463",
462
    00000448 => x"00778793",
463
    00000449 => x"10f40663",
464
    00000450 => x"00001537",
465
    00000451 => x"c8450513",
466 65 zero_gravi
    00000452 => x"bb9ff0ef",
467 64 zero_gravi
    00000453 => x"00040513",
468
    00000454 => x"f05ff0ef",
469
    00000455 => x"0380006f",
470
    00000456 => x"ff07c793",
471
    00000457 => x"00f407b3",
472
    00000458 => x"00f00713",
473
    00000459 => x"fcf76ee3",
474
    00000460 => x"00001537",
475
    00000461 => x"c7450513",
476 65 zero_gravi
    00000462 => x"b91ff0ef",
477 64 zero_gravi
    00000463 => x"00048513",
478 65 zero_gravi
    00000464 => x"b71ff0ef",
479 64 zero_gravi
    00000465 => x"0100006f",
480
    00000466 => x"00001537",
481
    00000467 => x"b2c50513",
482 65 zero_gravi
    00000468 => x"b79ff0ef",
483 64 zero_gravi
    00000469 => x"00001537",
484
    00000470 => x"c9c50513",
485 65 zero_gravi
    00000471 => x"b6dff0ef",
486 64 zero_gravi
    00000472 => x"34002573",
487
    00000473 => x"eb9ff0ef",
488 61 zero_gravi
    00000474 => x"00001537",
489 64 zero_gravi
    00000475 => x"ca450513",
490 65 zero_gravi
    00000476 => x"b59ff0ef",
491 64 zero_gravi
    00000477 => x"34302573",
492
    00000478 => x"ea5ff0ef",
493
    00000479 => x"00812403",
494
    00000480 => x"00c12083",
495
    00000481 => x"00412483",
496
    00000482 => x"00001537",
497
    00000483 => x"cb050513",
498
    00000484 => x"01010113",
499 65 zero_gravi
    00000485 => x"b35ff06f",
500 61 zero_gravi
    00000486 => x"00001537",
501 64 zero_gravi
    00000487 => x"b4c50513",
502
    00000488 => x"fb1ff06f",
503
    00000489 => x"00001537",
504
    00000490 => x"b6850513",
505
    00000491 => x"fa5ff06f",
506
    00000492 => x"00001537",
507
    00000493 => x"b7c50513",
508
    00000494 => x"f99ff06f",
509
    00000495 => x"00001537",
510
    00000496 => x"b8850513",
511
    00000497 => x"f8dff06f",
512
    00000498 => x"00001537",
513
    00000499 => x"ba050513",
514
    00000500 => x"f81ff06f",
515
    00000501 => x"00001537",
516
    00000502 => x"bb450513",
517
    00000503 => x"f75ff06f",
518
    00000504 => x"00001537",
519
    00000505 => x"bd050513",
520
    00000506 => x"f69ff06f",
521
    00000507 => x"00001537",
522
    00000508 => x"be450513",
523
    00000509 => x"f5dff06f",
524
    00000510 => x"00001537",
525
    00000511 => x"c0450513",
526
    00000512 => x"f51ff06f",
527
    00000513 => x"00001537",
528
    00000514 => x"c2450513",
529
    00000515 => x"f45ff06f",
530
    00000516 => x"00001537",
531
    00000517 => x"c4050513",
532
    00000518 => x"f39ff06f",
533
    00000519 => x"00001537",
534
    00000520 => x"c5850513",
535
    00000521 => x"f2dff06f",
536
    00000522 => x"00c12083",
537
    00000523 => x"00812403",
538
    00000524 => x"00412483",
539
    00000525 => x"01010113",
540
    00000526 => x"00008067",
541
    00000527 => x"01f00793",
542
    00000528 => x"02a7e263",
543
    00000529 => x"800007b7",
544
    00000530 => x"00078793",
545
    00000531 => x"00251513",
546
    00000532 => x"00a78533",
547
    00000533 => x"68c00793",
548
    00000534 => x"00f52023",
549
    00000535 => x"00000513",
550
    00000536 => x"00008067",
551
    00000537 => x"00100513",
552
    00000538 => x"00008067",
553
    00000539 => x"ff010113",
554
    00000540 => x"00112623",
555
    00000541 => x"00812423",
556
    00000542 => x"00912223",
557
    00000543 => x"41000793",
558
    00000544 => x"30579073",
559
    00000545 => x"00000413",
560
    00000546 => x"01d00493",
561
    00000547 => x"00040513",
562
    00000548 => x"00140413",
563
    00000549 => x"0ff47413",
564
    00000550 => x"fa5ff0ef",
565
    00000551 => x"fe9418e3",
566
    00000552 => x"00c12083",
567
    00000553 => x"00812403",
568
    00000554 => x"00412483",
569
    00000555 => x"01010113",
570
    00000556 => x"00008067",
571
    00000557 => x"fe802503",
572
    00000558 => x"01055513",
573
    00000559 => x"00157513",
574
    00000560 => x"00008067",
575
    00000561 => x"fc000793",
576
    00000562 => x"00a7a423",
577
    00000563 => x"00b7a623",
578
    00000564 => x"00008067",
579
    00000565 => x"00050613",
580
    00000566 => x"00000513",
581
    00000567 => x"0015f693",
582
    00000568 => x"00068463",
583
    00000569 => x"00c50533",
584
    00000570 => x"0015d593",
585
    00000571 => x"00161613",
586
    00000572 => x"fe0596e3",
587
    00000573 => x"00008067",
588
    00000574 => x"00050313",
589
    00000575 => x"ff010113",
590
    00000576 => x"00060513",
591
    00000577 => x"00068893",
592
    00000578 => x"00112623",
593
    00000579 => x"00030613",
594
    00000580 => x"00050693",
595
    00000581 => x"00000713",
596
    00000582 => x"00000793",
597
    00000583 => x"00000813",
598
    00000584 => x"0016fe13",
599
    00000585 => x"00171e93",
600
    00000586 => x"000e0c63",
601
    00000587 => x"01060e33",
602
    00000588 => x"010e3833",
603
    00000589 => x"00e787b3",
604
    00000590 => x"00f807b3",
605
    00000591 => x"000e0813",
606
    00000592 => x"01f65713",
607
    00000593 => x"0016d693",
608
    00000594 => x"00eee733",
609
    00000595 => x"00161613",
610
    00000596 => x"fc0698e3",
611
    00000597 => x"00058663",
612
    00000598 => x"f7dff0ef",
613
    00000599 => x"00a787b3",
614
    00000600 => x"00088a63",
615
    00000601 => x"00030513",
616
    00000602 => x"00088593",
617
    00000603 => x"f69ff0ef",
618
    00000604 => x"00f507b3",
619
    00000605 => x"00c12083",
620
    00000606 => x"00080513",
621
    00000607 => x"00078593",
622
    00000608 => x"01010113",
623
    00000609 => x"00008067",
624
    00000610 => x"06054063",
625
    00000611 => x"0605c663",
626
    00000612 => x"00058613",
627
    00000613 => x"00050593",
628
    00000614 => x"fff00513",
629
    00000615 => x"02060c63",
630
    00000616 => x"00100693",
631
    00000617 => x"00b67a63",
632
    00000618 => x"00c05863",
633
    00000619 => x"00161613",
634
    00000620 => x"00169693",
635
    00000621 => x"feb66ae3",
636
    00000622 => x"00000513",
637
    00000623 => x"00c5e663",
638
    00000624 => x"40c585b3",
639
    00000625 => x"00d56533",
640
    00000626 => x"0016d693",
641
    00000627 => x"00165613",
642
    00000628 => x"fe0696e3",
643
    00000629 => x"00008067",
644
    00000630 => x"00008293",
645
    00000631 => x"fb5ff0ef",
646
    00000632 => x"00058513",
647
    00000633 => x"00028067",
648
    00000634 => x"40a00533",
649
    00000635 => x"00b04863",
650
    00000636 => x"40b005b3",
651
    00000637 => x"f9dff06f",
652
    00000638 => x"40b005b3",
653
    00000639 => x"00008293",
654
    00000640 => x"f91ff0ef",
655
    00000641 => x"40a00533",
656
    00000642 => x"00028067",
657
    00000643 => x"00008293",
658
    00000644 => x"0005ca63",
659
    00000645 => x"00054c63",
660
    00000646 => x"f79ff0ef",
661
    00000647 => x"00058513",
662
    00000648 => x"00028067",
663
    00000649 => x"40b005b3",
664
    00000650 => x"fe0558e3",
665
    00000651 => x"40a00533",
666
    00000652 => x"f61ff0ef",
667
    00000653 => x"40b00533",
668
    00000654 => x"00028067",
669
    00000655 => x"6f727245",
670
    00000656 => x"4e202172",
671
    00000657 => x"5047206f",
672
    00000658 => x"75204f49",
673
    00000659 => x"2074696e",
674
    00000660 => x"746e7973",
675
    00000661 => x"69736568",
676
    00000662 => x"2164657a",
677
    00000663 => x"0000000a",
678
    00000664 => x"6e696c42",
679
    00000665 => x"676e696b",
680
    00000666 => x"44454c20",
681
    00000667 => x"6d656420",
682
    00000668 => x"7270206f",
683
    00000669 => x"6172676f",
684
    00000670 => x"00000a6d",
685
    00000671 => x"0000051c",
686
    00000672 => x"00000528",
687
    00000673 => x"00000534",
688
    00000674 => x"00000540",
689
    00000675 => x"0000054c",
690
    00000676 => x"00000554",
691
    00000677 => x"0000055c",
692
    00000678 => x"00000564",
693
    00000679 => x"0000056c",
694
    00000680 => x"00000488",
695
    00000681 => x"00000488",
696
    00000682 => x"00000574",
697
    00000683 => x"0000057c",
698
    00000684 => x"00000488",
699
    00000685 => x"00000488",
700
    00000686 => x"00000488",
701
    00000687 => x"00000584",
702
    00000688 => x"00000488",
703
    00000689 => x"00000488",
704
    00000690 => x"00000488",
705
    00000691 => x"0000058c",
706
    00000692 => x"00000488",
707
    00000693 => x"00000488",
708
    00000694 => x"00000488",
709
    00000695 => x"00000488",
710
    00000696 => x"00000594",
711
    00000697 => x"0000059c",
712
    00000698 => x"000005a4",
713
    00000699 => x"000005ac",
714
    00000700 => x"000005b4",
715
    00000701 => x"000005bc",
716
    00000702 => x"000005c4",
717
    00000703 => x"000005cc",
718
    00000704 => x"000005d4",
719
    00000705 => x"000005dc",
720
    00000706 => x"000005e4",
721
    00000707 => x"000005ec",
722
    00000708 => x"000005f4",
723
    00000709 => x"000005fc",
724
    00000710 => x"00000604",
725
    00000711 => x"0000060c",
726
    00000712 => x"00007830",
727
    00000713 => x"4554523c",
728
    00000714 => x"0000203e",
729
    00000715 => x"74736e49",
730
    00000716 => x"74637572",
731
    00000717 => x"206e6f69",
732
    00000718 => x"72646461",
733
    00000719 => x"20737365",
734
    00000720 => x"6173696d",
735
    00000721 => x"6e67696c",
736
    00000722 => x"00006465",
737
    00000723 => x"74736e49",
738
    00000724 => x"74637572",
739
    00000725 => x"206e6f69",
740
    00000726 => x"65636361",
741
    00000727 => x"66207373",
742
    00000728 => x"746c7561",
743
    00000729 => x"00000000",
744
    00000730 => x"656c6c49",
745
    00000731 => x"206c6167",
746
    00000732 => x"74736e69",
747
    00000733 => x"74637572",
748
    00000734 => x"006e6f69",
749
    00000735 => x"61657242",
750
    00000736 => x"696f706b",
751
    00000737 => x"0000746e",
752
    00000738 => x"64616f4c",
753
    00000739 => x"64646120",
754
    00000740 => x"73736572",
755
    00000741 => x"73696d20",
756
    00000742 => x"67696c61",
757
    00000743 => x"0064656e",
758
    00000744 => x"64616f4c",
759
    00000745 => x"63636120",
760
    00000746 => x"20737365",
761
    00000747 => x"6c756166",
762
    00000748 => x"00000074",
763
    00000749 => x"726f7453",
764
    00000750 => x"64612065",
765
    00000751 => x"73657264",
766
    00000752 => x"696d2073",
767
    00000753 => x"696c6173",
768
    00000754 => x"64656e67",
769
    00000755 => x"00000000",
770
    00000756 => x"726f7453",
771
    00000757 => x"63612065",
772
    00000758 => x"73736563",
773
    00000759 => x"75616620",
774
    00000760 => x"0000746c",
775
    00000761 => x"69766e45",
776
    00000762 => x"6d6e6f72",
777
    00000763 => x"20746e65",
778
    00000764 => x"6c6c6163",
779
    00000765 => x"6f726620",
780
    00000766 => x"2d55206d",
781
    00000767 => x"65646f6d",
782
    00000768 => x"00000000",
783
    00000769 => x"69766e45",
784
    00000770 => x"6d6e6f72",
785
    00000771 => x"20746e65",
786
    00000772 => x"6c6c6163",
787
    00000773 => x"6f726620",
788
    00000774 => x"2d4d206d",
789
    00000775 => x"65646f6d",
790
    00000776 => x"00000000",
791
    00000777 => x"6863614d",
792
    00000778 => x"20656e69",
793
    00000779 => x"74666f73",
794
    00000780 => x"65726177",
795
    00000781 => x"746e6920",
796
    00000782 => x"75727265",
797
    00000783 => x"00007470",
798
    00000784 => x"6863614d",
799
    00000785 => x"20656e69",
800
    00000786 => x"656d6974",
801
    00000787 => x"6e692072",
802
    00000788 => x"72726574",
803
    00000789 => x"00747075",
804
    00000790 => x"6863614d",
805
    00000791 => x"20656e69",
806
    00000792 => x"65747865",
807
    00000793 => x"6c616e72",
808
    00000794 => x"746e6920",
809
    00000795 => x"75727265",
810
    00000796 => x"00007470",
811
    00000797 => x"74736146",
812
    00000798 => x"746e6920",
813
    00000799 => x"75727265",
814
    00000800 => x"00207470",
815
    00000801 => x"6e6b6e55",
816
    00000802 => x"206e776f",
817
    00000803 => x"70617274",
818
    00000804 => x"75616320",
819
    00000805 => x"203a6573",
820
    00000806 => x"00000000",
821
    00000807 => x"50204020",
822
    00000808 => x"00003d43",
823
    00000809 => x"544d202c",
824
    00000810 => x"3d4c4156",
825
    00000811 => x"00000000",
826
    00000812 => x"522f3c20",
827
    00000813 => x"003e4554",
828
    00000814 => x"00000748",
829
    00000815 => x"00000798",
830
    00000816 => x"000007a4",
831
    00000817 => x"000007b0",
832
    00000818 => x"000007bc",
833
    00000819 => x"000007c8",
834
    00000820 => x"000007d4",
835
    00000821 => x"000007e0",
836
    00000822 => x"000007ec",
837
    00000823 => x"00000708",
838
    00000824 => x"00000708",
839
    00000825 => x"000007f8",
840
    00000826 => x"33323130",
841
    00000827 => x"37363534",
842
    00000828 => x"42413938",
843
    00000829 => x"46454443"
844 2 zero_gravi
  );
845
 
846
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.