OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32
2 47 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 66 zero_gravi
-- Size: 3352 bytes
4 2 zero_gravi
 
5
library ieee;
6
use ieee.std_logic_1164.all;
7
 
8 61 zero_gravi
library neorv32;
9
use neorv32.neorv32_package.all;
10
 
11 2 zero_gravi
package neorv32_application_image is
12
 
13 61 zero_gravi
  constant application_init_image : mem32_t := (
14 62 zero_gravi
    00000000 => x"00000037",
15 61 zero_gravi
    00000001 => x"80002117",
16
    00000002 => x"ff810113",
17
    00000003 => x"80000197",
18
    00000004 => x"7f418193",
19
    00000005 => x"00000517",
20
    00000006 => x"12050513",
21
    00000007 => x"30551073",
22
    00000008 => x"34151073",
23
    00000009 => x"30001073",
24
    00000010 => x"30401073",
25
    00000011 => x"30601073",
26
    00000012 => x"ffa00593",
27
    00000013 => x"32059073",
28
    00000014 => x"b0001073",
29
    00000015 => x"b8001073",
30
    00000016 => x"b0201073",
31
    00000017 => x"b8201073",
32
    00000018 => x"00000093",
33
    00000019 => x"00000213",
34
    00000020 => x"00000293",
35
    00000021 => x"00000313",
36
    00000022 => x"00000393",
37
    00000023 => x"00000713",
38
    00000024 => x"00000793",
39
    00000025 => x"00000813",
40
    00000026 => x"00000893",
41
    00000027 => x"00000913",
42
    00000028 => x"00000993",
43
    00000029 => x"00000a13",
44
    00000030 => x"00000a93",
45
    00000031 => x"00000b13",
46
    00000032 => x"00000b93",
47
    00000033 => x"00000c13",
48
    00000034 => x"00000c93",
49
    00000035 => x"00000d13",
50
    00000036 => x"00000d93",
51
    00000037 => x"00000e13",
52
    00000038 => x"00000e93",
53
    00000039 => x"00000f13",
54
    00000040 => x"00000f93",
55
    00000041 => x"00000417",
56
    00000042 => x"d5c40413",
57
    00000043 => x"00000497",
58
    00000044 => x"f5448493",
59
    00000045 => x"00042023",
60
    00000046 => x"00440413",
61
    00000047 => x"fe941ce3",
62
    00000048 => x"80000597",
63
    00000049 => x"f4058593",
64 64 zero_gravi
    00000050 => x"87418613",
65 61 zero_gravi
    00000051 => x"00c5d863",
66
    00000052 => x"00058023",
67
    00000053 => x"00158593",
68
    00000054 => x"ff5ff06f",
69
    00000055 => x"00001597",
70 66 zero_gravi
    00000056 => x"c3c58593",
71 61 zero_gravi
    00000057 => x"80000617",
72
    00000058 => x"f1c60613",
73
    00000059 => x"80000697",
74
    00000060 => x"f1468693",
75
    00000061 => x"00d65c63",
76
    00000062 => x"00058703",
77
    00000063 => x"00e60023",
78
    00000064 => x"00158593",
79
    00000065 => x"00160613",
80
    00000066 => x"fedff06f",
81
    00000067 => x"00000513",
82
    00000068 => x"00000593",
83
    00000069 => x"06c000ef",
84
    00000070 => x"34051073",
85
    00000071 => x"00000093",
86
    00000072 => x"00008463",
87
    00000073 => x"000080e7",
88
    00000074 => x"30047073",
89
    00000075 => x"10500073",
90
    00000076 => x"ffdff06f",
91
    00000077 => x"ff810113",
92
    00000078 => x"00812023",
93
    00000079 => x"00912223",
94
    00000080 => x"34202473",
95
    00000081 => x"02044663",
96
    00000082 => x"34102473",
97
    00000083 => x"00041483",
98
    00000084 => x"0034f493",
99
    00000085 => x"00240413",
100
    00000086 => x"34141073",
101
    00000087 => x"00300413",
102
    00000088 => x"00941863",
103
    00000089 => x"34102473",
104
    00000090 => x"00240413",
105
    00000091 => x"34141073",
106
    00000092 => x"00012403",
107
    00000093 => x"00412483",
108
    00000094 => x"00810113",
109
    00000095 => x"30200073",
110
    00000096 => x"00005537",
111
    00000097 => x"ff010113",
112
    00000098 => x"00000613",
113
    00000099 => x"00000593",
114
    00000100 => x"b0050513",
115
    00000101 => x"00112623",
116 65 zero_gravi
    00000102 => x"088000ef",
117 66 zero_gravi
    00000103 => x"738000ef",
118 61 zero_gravi
    00000104 => x"00050c63",
119 66 zero_gravi
    00000105 => x"6e8000ef",
120 61 zero_gravi
    00000106 => x"00001537",
121 66 zero_gravi
    00000107 => x"a8050513",
122
    00000108 => x"134000ef",
123 61 zero_gravi
    00000109 => x"020000ef",
124 60 zero_gravi
    00000110 => x"00001537",
125 66 zero_gravi
    00000111 => x"a5c50513",
126
    00000112 => x"124000ef",
127 61 zero_gravi
    00000113 => x"00c12083",
128
    00000114 => x"00100513",
129
    00000115 => x"01010113",
130
    00000116 => x"00008067",
131
    00000117 => x"ff010113",
132 60 zero_gravi
    00000118 => x"00000513",
133 61 zero_gravi
    00000119 => x"00000593",
134
    00000120 => x"00112623",
135
    00000121 => x"00812423",
136 66 zero_gravi
    00000122 => x"6fc000ef",
137 61 zero_gravi
    00000123 => x"00000513",
138
    00000124 => x"00150413",
139
    00000125 => x"00000593",
140
    00000126 => x"0ff57513",
141 66 zero_gravi
    00000127 => x"6e8000ef",
142 61 zero_gravi
    00000128 => x"0c800513",
143 66 zero_gravi
    00000129 => x"164000ef",
144 61 zero_gravi
    00000130 => x"00040513",
145
    00000131 => x"fe5ff06f",
146
    00000132 => x"fe802503",
147
    00000133 => x"01255513",
148
    00000134 => x"00157513",
149
    00000135 => x"00008067",
150 66 zero_gravi
    00000136 => x"ff010113",
151
    00000137 => x"00812423",
152
    00000138 => x"00912223",
153
    00000139 => x"00112623",
154
    00000140 => x"fa002023",
155
    00000141 => x"fe002783",
156
    00000142 => x"00058413",
157
    00000143 => x"00151593",
158
    00000144 => x"00078513",
159
    00000145 => x"00060493",
160
    00000146 => x"768000ef",
161
    00000147 => x"01051513",
162
    00000148 => x"000017b7",
163
    00000149 => x"01055513",
164
    00000150 => x"00000713",
165
    00000151 => x"ffe78793",
166
    00000152 => x"04a7e463",
167
    00000153 => x"0034f793",
168
    00000154 => x"00347413",
169
    00000155 => x"fff50513",
170
    00000156 => x"01479793",
171
    00000157 => x"01641413",
172
    00000158 => x"00f567b3",
173
    00000159 => x"0087e7b3",
174
    00000160 => x"01871713",
175
    00000161 => x"00c12083",
176
    00000162 => x"00812403",
177
    00000163 => x"00e7e7b3",
178
    00000164 => x"10000737",
179
    00000165 => x"00e7e7b3",
180
    00000166 => x"faf02023",
181
    00000167 => x"00412483",
182
    00000168 => x"01010113",
183
    00000169 => x"00008067",
184
    00000170 => x"ffe70693",
185
    00000171 => x"0fd6f693",
186
    00000172 => x"00069a63",
187
    00000173 => x"00355513",
188
    00000174 => x"00170713",
189
    00000175 => x"0ff77713",
190
    00000176 => x"fa1ff06f",
191
    00000177 => x"00155513",
192
    00000178 => x"ff1ff06f",
193
    00000179 => x"00040737",
194
    00000180 => x"fa002783",
195
    00000181 => x"00e7f7b3",
196
    00000182 => x"fe079ce3",
197
    00000183 => x"faa02223",
198
    00000184 => x"00008067",
199
    00000185 => x"ff010113",
200
    00000186 => x"00812423",
201
    00000187 => x"01212023",
202
    00000188 => x"00112623",
203
    00000189 => x"00912223",
204
    00000190 => x"00050413",
205
    00000191 => x"00a00913",
206
    00000192 => x"00044483",
207
    00000193 => x"00140413",
208
    00000194 => x"00049e63",
209
    00000195 => x"00c12083",
210
    00000196 => x"00812403",
211
    00000197 => x"00412483",
212
    00000198 => x"00012903",
213
    00000199 => x"01010113",
214
    00000200 => x"00008067",
215
    00000201 => x"01249663",
216
    00000202 => x"00d00513",
217
    00000203 => x"fa1ff0ef",
218
    00000204 => x"00048513",
219
    00000205 => x"f99ff0ef",
220
    00000206 => x"fc9ff06f",
221
    00000207 => x"ff010113",
222
    00000208 => x"c81026f3",
223
    00000209 => x"c0102773",
224
    00000210 => x"c81027f3",
225
    00000211 => x"fed79ae3",
226
    00000212 => x"00e12023",
227
    00000213 => x"00f12223",
228
    00000214 => x"00012503",
229
    00000215 => x"00412583",
230
    00000216 => x"01010113",
231
    00000217 => x"00008067",
232
    00000218 => x"fd010113",
233
    00000219 => x"00a12623",
234
    00000220 => x"fe002503",
235
    00000221 => x"3e800593",
236
    00000222 => x"02112623",
237
    00000223 => x"02812423",
238
    00000224 => x"02912223",
239
    00000225 => x"03212023",
240
    00000226 => x"01312e23",
241
    00000227 => x"624000ef",
242
    00000228 => x"00c12603",
243
    00000229 => x"00000693",
244
    00000230 => x"00000593",
245
    00000231 => x"57c000ef",
246
    00000232 => x"00050413",
247
    00000233 => x"00058993",
248
    00000234 => x"f95ff0ef",
249
    00000235 => x"00058913",
250
    00000236 => x"00050493",
251
    00000237 => x"f89ff0ef",
252
    00000238 => x"00b96663",
253
    00000239 => x"05259263",
254
    00000240 => x"04a4f063",
255
    00000241 => x"008484b3",
256
    00000242 => x"0084b433",
257
    00000243 => x"01390933",
258
    00000244 => x"01240433",
259
    00000245 => x"f69ff0ef",
260
    00000246 => x"fe85eee3",
261
    00000247 => x"00b41463",
262
    00000248 => x"fe956ae3",
263
    00000249 => x"02c12083",
264
    00000250 => x"02812403",
265
    00000251 => x"02412483",
266
    00000252 => x"02012903",
267
    00000253 => x"01c12983",
268
    00000254 => x"03010113",
269
    00000255 => x"00008067",
270
    00000256 => x"01c99913",
271
    00000257 => x"00445413",
272
    00000258 => x"00896433",
273
    00000259 => x"00040a63",
274
    00000260 => x"00040863",
275
    00000261 => x"fff40413",
276
    00000262 => x"00000013",
277
    00000263 => x"ff1ff06f",
278
    00000264 => x"fc5ff06f",
279
    00000265 => x"00000000",
280
    00000266 => x"00000000",
281
    00000267 => x"00000000",
282
    00000268 => x"fc010113",
283
    00000269 => x"02112e23",
284
    00000270 => x"02512c23",
285
    00000271 => x"02612a23",
286
    00000272 => x"02712823",
287
    00000273 => x"02a12623",
288
    00000274 => x"02b12423",
289
    00000275 => x"02c12223",
290
    00000276 => x"02d12023",
291
    00000277 => x"00e12e23",
292
    00000278 => x"00f12c23",
293
    00000279 => x"01012a23",
294
    00000280 => x"01112823",
295
    00000281 => x"01c12623",
296
    00000282 => x"01d12423",
297
    00000283 => x"01e12223",
298
    00000284 => x"01f12023",
299
    00000285 => x"34102773",
300
    00000286 => x"34071073",
301
    00000287 => x"342027f3",
302
    00000288 => x"0807c863",
303
    00000289 => x"00071683",
304
    00000290 => x"00300593",
305
    00000291 => x"0036f693",
306
    00000292 => x"00270613",
307
    00000293 => x"00b69463",
308
    00000294 => x"00470613",
309
    00000295 => x"34161073",
310
    00000296 => x"00b00713",
311
    00000297 => x"04f77a63",
312
    00000298 => x"6ac00793",
313
    00000299 => x"000780e7",
314
    00000300 => x"03c12083",
315
    00000301 => x"03812283",
316
    00000302 => x"03412303",
317
    00000303 => x"03012383",
318
    00000304 => x"02c12503",
319
    00000305 => x"02812583",
320
    00000306 => x"02412603",
321
    00000307 => x"02012683",
322
    00000308 => x"01c12703",
323
    00000309 => x"01812783",
324
    00000310 => x"01412803",
325
    00000311 => x"01012883",
326
    00000312 => x"00c12e03",
327
    00000313 => x"00812e83",
328
    00000314 => x"00412f03",
329
    00000315 => x"00012f83",
330
    00000316 => x"04010113",
331
    00000317 => x"30200073",
332
    00000318 => x"00001737",
333
    00000319 => x"00279793",
334
    00000320 => x"a9c70713",
335
    00000321 => x"00e787b3",
336
    00000322 => x"0007a783",
337
    00000323 => x"00078067",
338
    00000324 => x"80000737",
339
    00000325 => x"ffd74713",
340
    00000326 => x"00e787b3",
341
    00000327 => x"01c00713",
342
    00000328 => x"f8f764e3",
343
    00000329 => x"00001737",
344
    00000330 => x"00279793",
345
    00000331 => x"acc70713",
346
    00000332 => x"00e787b3",
347
    00000333 => x"0007a783",
348
    00000334 => x"00078067",
349
    00000335 => x"800007b7",
350
    00000336 => x"0007a783",
351
    00000337 => x"f69ff06f",
352
    00000338 => x"800007b7",
353
    00000339 => x"0047a783",
354
    00000340 => x"f5dff06f",
355
    00000341 => x"800007b7",
356
    00000342 => x"0087a783",
357
    00000343 => x"f51ff06f",
358
    00000344 => x"800007b7",
359
    00000345 => x"00c7a783",
360
    00000346 => x"f45ff06f",
361
    00000347 => x"8101a783",
362
    00000348 => x"f3dff06f",
363
    00000349 => x"8141a783",
364
    00000350 => x"f35ff06f",
365
    00000351 => x"8181a783",
366
    00000352 => x"f2dff06f",
367
    00000353 => x"81c1a783",
368
    00000354 => x"f25ff06f",
369
    00000355 => x"8201a783",
370
    00000356 => x"f1dff06f",
371
    00000357 => x"8241a783",
372
    00000358 => x"f15ff06f",
373
    00000359 => x"8281a783",
374
    00000360 => x"f0dff06f",
375
    00000361 => x"82c1a783",
376
    00000362 => x"f05ff06f",
377
    00000363 => x"8301a783",
378
    00000364 => x"efdff06f",
379
    00000365 => x"8341a783",
380
    00000366 => x"ef5ff06f",
381
    00000367 => x"8381a783",
382
    00000368 => x"eedff06f",
383
    00000369 => x"83c1a783",
384
    00000370 => x"ee5ff06f",
385
    00000371 => x"8401a783",
386
    00000372 => x"eddff06f",
387
    00000373 => x"8441a783",
388
    00000374 => x"ed5ff06f",
389
    00000375 => x"8481a783",
390
    00000376 => x"ecdff06f",
391
    00000377 => x"84c1a783",
392
    00000378 => x"ec5ff06f",
393
    00000379 => x"8501a783",
394
    00000380 => x"ebdff06f",
395
    00000381 => x"8541a783",
396
    00000382 => x"eb5ff06f",
397
    00000383 => x"8581a783",
398
    00000384 => x"eadff06f",
399
    00000385 => x"85c1a783",
400
    00000386 => x"ea5ff06f",
401
    00000387 => x"8601a783",
402
    00000388 => x"e9dff06f",
403
    00000389 => x"8641a783",
404
    00000390 => x"e95ff06f",
405
    00000391 => x"8681a783",
406
    00000392 => x"e8dff06f",
407
    00000393 => x"86c1a783",
408
    00000394 => x"e85ff06f",
409
    00000395 => x"8701a783",
410
    00000396 => x"e7dff06f",
411
    00000397 => x"00000000",
412
    00000398 => x"00000000",
413
    00000399 => x"fe010113",
414
    00000400 => x"01212823",
415
    00000401 => x"00050913",
416
    00000402 => x"00001537",
417
    00000403 => x"00912a23",
418
    00000404 => x"b4050513",
419
    00000405 => x"000014b7",
420
    00000406 => x"00812c23",
421
    00000407 => x"01312623",
422
    00000408 => x"00112e23",
423
    00000409 => x"01c00413",
424
    00000410 => x"c7dff0ef",
425
    00000411 => x"d0848493",
426
    00000412 => x"ffc00993",
427
    00000413 => x"008957b3",
428
    00000414 => x"00f7f793",
429
    00000415 => x"00f487b3",
430
    00000416 => x"0007c503",
431
    00000417 => x"ffc40413",
432
    00000418 => x"c45ff0ef",
433
    00000419 => x"ff3414e3",
434
    00000420 => x"01c12083",
435
    00000421 => x"01812403",
436
    00000422 => x"01412483",
437
    00000423 => x"01012903",
438
    00000424 => x"00c12983",
439
    00000425 => x"02010113",
440
    00000426 => x"00008067",
441
    00000427 => x"ff010113",
442
    00000428 => x"00112623",
443
    00000429 => x"00812423",
444
    00000430 => x"00912223",
445
    00000431 => x"b55ff0ef",
446
    00000432 => x"18050463",
447
    00000433 => x"00001537",
448
    00000434 => x"b4450513",
449
    00000435 => x"c19ff0ef",
450
    00000436 => x"34202473",
451
    00000437 => x"00900713",
452
    00000438 => x"00f47793",
453
    00000439 => x"03078493",
454
    00000440 => x"00f77463",
455
    00000441 => x"05778493",
456
    00000442 => x"00b00793",
457
    00000443 => x"0087ee63",
458
    00000444 => x"00001737",
459
    00000445 => x"00241793",
460
    00000446 => x"cd870713",
461
    00000447 => x"00e787b3",
462
    00000448 => x"0007a783",
463
    00000449 => x"00078067",
464
    00000450 => x"800007b7",
465
    00000451 => x"00b78713",
466
    00000452 => x"12e40663",
467
    00000453 => x"02876663",
468
    00000454 => x"00378713",
469
    00000455 => x"10e40463",
470
    00000456 => x"00778793",
471
    00000457 => x"10f40663",
472
    00000458 => x"00001537",
473
    00000459 => x"ca450513",
474
    00000460 => x"bb5ff0ef",
475
    00000461 => x"00040513",
476
    00000462 => x"f05ff0ef",
477
    00000463 => x"0380006f",
478
    00000464 => x"ff07c793",
479
    00000465 => x"00f407b3",
480
    00000466 => x"00f00713",
481
    00000467 => x"fcf76ee3",
482
    00000468 => x"00001537",
483
    00000469 => x"c9450513",
484
    00000470 => x"b8dff0ef",
485
    00000471 => x"00048513",
486
    00000472 => x"b6dff0ef",
487
    00000473 => x"0100006f",
488 61 zero_gravi
    00000474 => x"00001537",
489 66 zero_gravi
    00000475 => x"b4c50513",
490
    00000476 => x"b75ff0ef",
491
    00000477 => x"00001537",
492
    00000478 => x"cbc50513",
493
    00000479 => x"b69ff0ef",
494
    00000480 => x"34002573",
495
    00000481 => x"eb9ff0ef",
496 64 zero_gravi
    00000482 => x"00001537",
497 66 zero_gravi
    00000483 => x"cc450513",
498
    00000484 => x"b55ff0ef",
499
    00000485 => x"34302573",
500
    00000486 => x"ea5ff0ef",
501
    00000487 => x"00812403",
502
    00000488 => x"00c12083",
503
    00000489 => x"00412483",
504
    00000490 => x"00001537",
505
    00000491 => x"cd050513",
506
    00000492 => x"01010113",
507
    00000493 => x"b31ff06f",
508
    00000494 => x"00001537",
509
    00000495 => x"b6c50513",
510
    00000496 => x"fb1ff06f",
511
    00000497 => x"00001537",
512
    00000498 => x"b8850513",
513
    00000499 => x"fa5ff06f",
514
    00000500 => x"00001537",
515
    00000501 => x"b9c50513",
516
    00000502 => x"f99ff06f",
517
    00000503 => x"00001537",
518
    00000504 => x"ba850513",
519
    00000505 => x"f8dff06f",
520
    00000506 => x"00001537",
521
    00000507 => x"bc050513",
522
    00000508 => x"f81ff06f",
523
    00000509 => x"00001537",
524
    00000510 => x"bd450513",
525
    00000511 => x"f75ff06f",
526
    00000512 => x"00001537",
527
    00000513 => x"bf050513",
528
    00000514 => x"f69ff06f",
529
    00000515 => x"00001537",
530
    00000516 => x"c0450513",
531
    00000517 => x"f5dff06f",
532
    00000518 => x"00001537",
533
    00000519 => x"c2450513",
534
    00000520 => x"f51ff06f",
535
    00000521 => x"00001537",
536
    00000522 => x"c4450513",
537
    00000523 => x"f45ff06f",
538
    00000524 => x"00001537",
539
    00000525 => x"c6050513",
540
    00000526 => x"f39ff06f",
541
    00000527 => x"00001537",
542
    00000528 => x"c7850513",
543
    00000529 => x"f2dff06f",
544
    00000530 => x"00c12083",
545
    00000531 => x"00812403",
546
    00000532 => x"00412483",
547
    00000533 => x"01010113",
548
    00000534 => x"00008067",
549
    00000535 => x"01f00793",
550
    00000536 => x"02a7e263",
551
    00000537 => x"800007b7",
552
    00000538 => x"00078793",
553
    00000539 => x"00251513",
554
    00000540 => x"00a78533",
555
    00000541 => x"6ac00793",
556
    00000542 => x"00f52023",
557
    00000543 => x"00000513",
558
    00000544 => x"00008067",
559
    00000545 => x"00100513",
560
    00000546 => x"00008067",
561
    00000547 => x"ff010113",
562
    00000548 => x"00112623",
563
    00000549 => x"00812423",
564
    00000550 => x"00912223",
565
    00000551 => x"43000793",
566
    00000552 => x"30579073",
567
    00000553 => x"00000413",
568
    00000554 => x"01d00493",
569
    00000555 => x"00040513",
570
    00000556 => x"00140413",
571
    00000557 => x"0ff47413",
572
    00000558 => x"fa5ff0ef",
573
    00000559 => x"fe9418e3",
574
    00000560 => x"00c12083",
575
    00000561 => x"00812403",
576
    00000562 => x"00412483",
577
    00000563 => x"01010113",
578 64 zero_gravi
    00000564 => x"00008067",
579 66 zero_gravi
    00000565 => x"fe802503",
580
    00000566 => x"01055513",
581
    00000567 => x"00157513",
582
    00000568 => x"00008067",
583
    00000569 => x"fc000793",
584
    00000570 => x"00a7a423",
585
    00000571 => x"00b7a623",
586
    00000572 => x"00008067",
587
    00000573 => x"00050613",
588
    00000574 => x"00000513",
589
    00000575 => x"0015f693",
590
    00000576 => x"00068463",
591
    00000577 => x"00c50533",
592
    00000578 => x"0015d593",
593
    00000579 => x"00161613",
594
    00000580 => x"fe0596e3",
595
    00000581 => x"00008067",
596
    00000582 => x"00050313",
597
    00000583 => x"ff010113",
598
    00000584 => x"00060513",
599
    00000585 => x"00068893",
600
    00000586 => x"00112623",
601
    00000587 => x"00030613",
602
    00000588 => x"00050693",
603
    00000589 => x"00000713",
604
    00000590 => x"00000793",
605
    00000591 => x"00000813",
606
    00000592 => x"0016fe13",
607
    00000593 => x"00171e93",
608
    00000594 => x"000e0c63",
609
    00000595 => x"01060e33",
610
    00000596 => x"010e3833",
611
    00000597 => x"00e787b3",
612
    00000598 => x"00f807b3",
613
    00000599 => x"000e0813",
614
    00000600 => x"01f65713",
615
    00000601 => x"0016d693",
616
    00000602 => x"00eee733",
617
    00000603 => x"00161613",
618
    00000604 => x"fc0698e3",
619
    00000605 => x"00058663",
620
    00000606 => x"f7dff0ef",
621
    00000607 => x"00a787b3",
622
    00000608 => x"00088a63",
623
    00000609 => x"00030513",
624
    00000610 => x"00088593",
625
    00000611 => x"f69ff0ef",
626
    00000612 => x"00f507b3",
627
    00000613 => x"00c12083",
628
    00000614 => x"00080513",
629
    00000615 => x"00078593",
630
    00000616 => x"01010113",
631
    00000617 => x"00008067",
632
    00000618 => x"06054063",
633
    00000619 => x"0605c663",
634
    00000620 => x"00058613",
635
    00000621 => x"00050593",
636
    00000622 => x"fff00513",
637
    00000623 => x"02060c63",
638
    00000624 => x"00100693",
639
    00000625 => x"00b67a63",
640
    00000626 => x"00c05863",
641
    00000627 => x"00161613",
642
    00000628 => x"00169693",
643
    00000629 => x"feb66ae3",
644
    00000630 => x"00000513",
645
    00000631 => x"00c5e663",
646
    00000632 => x"40c585b3",
647
    00000633 => x"00d56533",
648
    00000634 => x"0016d693",
649
    00000635 => x"00165613",
650
    00000636 => x"fe0696e3",
651
    00000637 => x"00008067",
652
    00000638 => x"00008293",
653
    00000639 => x"fb5ff0ef",
654
    00000640 => x"00058513",
655
    00000641 => x"00028067",
656
    00000642 => x"40a00533",
657
    00000643 => x"00b04863",
658
    00000644 => x"40b005b3",
659
    00000645 => x"f9dff06f",
660
    00000646 => x"40b005b3",
661
    00000647 => x"00008293",
662
    00000648 => x"f91ff0ef",
663
    00000649 => x"40a00533",
664
    00000650 => x"00028067",
665
    00000651 => x"00008293",
666
    00000652 => x"0005ca63",
667
    00000653 => x"00054c63",
668
    00000654 => x"f79ff0ef",
669
    00000655 => x"00058513",
670
    00000656 => x"00028067",
671
    00000657 => x"40b005b3",
672
    00000658 => x"fe0558e3",
673
    00000659 => x"40a00533",
674
    00000660 => x"f61ff0ef",
675
    00000661 => x"40b00533",
676
    00000662 => x"00028067",
677
    00000663 => x"6f727245",
678
    00000664 => x"4e202172",
679
    00000665 => x"5047206f",
680
    00000666 => x"75204f49",
681
    00000667 => x"2074696e",
682
    00000668 => x"746e7973",
683
    00000669 => x"69736568",
684
    00000670 => x"2164657a",
685
    00000671 => x"0000000a",
686
    00000672 => x"6e696c42",
687
    00000673 => x"676e696b",
688
    00000674 => x"44454c20",
689
    00000675 => x"6d656420",
690
    00000676 => x"7270206f",
691
    00000677 => x"6172676f",
692
    00000678 => x"00000a6d",
693
    00000679 => x"0000053c",
694
    00000680 => x"00000548",
695
    00000681 => x"00000554",
696
    00000682 => x"00000560",
697
    00000683 => x"0000056c",
698
    00000684 => x"00000574",
699
    00000685 => x"0000057c",
700
    00000686 => x"00000584",
701
    00000687 => x"0000058c",
702
    00000688 => x"000004a8",
703
    00000689 => x"000004a8",
704
    00000690 => x"00000594",
705
    00000691 => x"0000059c",
706
    00000692 => x"000004a8",
707
    00000693 => x"000004a8",
708
    00000694 => x"000004a8",
709
    00000695 => x"000005a4",
710
    00000696 => x"000004a8",
711
    00000697 => x"000004a8",
712
    00000698 => x"000004a8",
713 64 zero_gravi
    00000699 => x"000005ac",
714 66 zero_gravi
    00000700 => x"000004a8",
715
    00000701 => x"000004a8",
716
    00000702 => x"000004a8",
717
    00000703 => x"000004a8",
718
    00000704 => x"000005b4",
719
    00000705 => x"000005bc",
720
    00000706 => x"000005c4",
721
    00000707 => x"000005cc",
722
    00000708 => x"000005d4",
723
    00000709 => x"000005dc",
724
    00000710 => x"000005e4",
725
    00000711 => x"000005ec",
726
    00000712 => x"000005f4",
727
    00000713 => x"000005fc",
728
    00000714 => x"00000604",
729
    00000715 => x"0000060c",
730
    00000716 => x"00000614",
731
    00000717 => x"0000061c",
732
    00000718 => x"00000624",
733
    00000719 => x"0000062c",
734
    00000720 => x"00007830",
735
    00000721 => x"4554523c",
736
    00000722 => x"0000203e",
737 64 zero_gravi
    00000723 => x"74736e49",
738
    00000724 => x"74637572",
739
    00000725 => x"206e6f69",
740 66 zero_gravi
    00000726 => x"72646461",
741
    00000727 => x"20737365",
742
    00000728 => x"6173696d",
743
    00000729 => x"6e67696c",
744
    00000730 => x"00006465",
745
    00000731 => x"74736e49",
746
    00000732 => x"74637572",
747
    00000733 => x"206e6f69",
748
    00000734 => x"65636361",
749
    00000735 => x"66207373",
750
    00000736 => x"746c7561",
751
    00000737 => x"00000000",
752
    00000738 => x"656c6c49",
753
    00000739 => x"206c6167",
754
    00000740 => x"74736e69",
755
    00000741 => x"74637572",
756
    00000742 => x"006e6f69",
757
    00000743 => x"61657242",
758
    00000744 => x"696f706b",
759
    00000745 => x"0000746e",
760
    00000746 => x"64616f4c",
761
    00000747 => x"64646120",
762
    00000748 => x"73736572",
763
    00000749 => x"73696d20",
764
    00000750 => x"67696c61",
765
    00000751 => x"0064656e",
766
    00000752 => x"64616f4c",
767
    00000753 => x"63636120",
768
    00000754 => x"20737365",
769
    00000755 => x"6c756166",
770
    00000756 => x"00000074",
771
    00000757 => x"726f7453",
772
    00000758 => x"64612065",
773
    00000759 => x"73657264",
774
    00000760 => x"696d2073",
775
    00000761 => x"696c6173",
776
    00000762 => x"64656e67",
777
    00000763 => x"00000000",
778
    00000764 => x"726f7453",
779
    00000765 => x"63612065",
780
    00000766 => x"73736563",
781
    00000767 => x"75616620",
782
    00000768 => x"0000746c",
783 64 zero_gravi
    00000769 => x"69766e45",
784
    00000770 => x"6d6e6f72",
785
    00000771 => x"20746e65",
786
    00000772 => x"6c6c6163",
787
    00000773 => x"6f726620",
788 66 zero_gravi
    00000774 => x"2d55206d",
789 64 zero_gravi
    00000775 => x"65646f6d",
790
    00000776 => x"00000000",
791 66 zero_gravi
    00000777 => x"69766e45",
792
    00000778 => x"6d6e6f72",
793
    00000779 => x"20746e65",
794
    00000780 => x"6c6c6163",
795
    00000781 => x"6f726620",
796
    00000782 => x"2d4d206d",
797
    00000783 => x"65646f6d",
798
    00000784 => x"00000000",
799
    00000785 => x"6863614d",
800
    00000786 => x"20656e69",
801
    00000787 => x"74666f73",
802
    00000788 => x"65726177",
803
    00000789 => x"746e6920",
804
    00000790 => x"75727265",
805
    00000791 => x"00007470",
806
    00000792 => x"6863614d",
807
    00000793 => x"20656e69",
808
    00000794 => x"656d6974",
809
    00000795 => x"6e692072",
810
    00000796 => x"72726574",
811
    00000797 => x"00747075",
812
    00000798 => x"6863614d",
813
    00000799 => x"20656e69",
814
    00000800 => x"65747865",
815
    00000801 => x"6c616e72",
816
    00000802 => x"746e6920",
817
    00000803 => x"75727265",
818
    00000804 => x"00007470",
819
    00000805 => x"74736146",
820
    00000806 => x"746e6920",
821
    00000807 => x"75727265",
822
    00000808 => x"00207470",
823
    00000809 => x"6e6b6e55",
824
    00000810 => x"206e776f",
825
    00000811 => x"70617274",
826
    00000812 => x"75616320",
827
    00000813 => x"203a6573",
828
    00000814 => x"00000000",
829
    00000815 => x"50204020",
830
    00000816 => x"00003d43",
831
    00000817 => x"544d202c",
832
    00000818 => x"3d4c4156",
833
    00000819 => x"00000000",
834
    00000820 => x"522f3c20",
835
    00000821 => x"003e4554",
836
    00000822 => x"00000768",
837
    00000823 => x"000007b8",
838
    00000824 => x"000007c4",
839
    00000825 => x"000007d0",
840
    00000826 => x"000007dc",
841
    00000827 => x"000007e8",
842
    00000828 => x"000007f4",
843
    00000829 => x"00000800",
844
    00000830 => x"0000080c",
845
    00000831 => x"00000728",
846
    00000832 => x"00000728",
847
    00000833 => x"00000818",
848
    00000834 => x"33323130",
849
    00000835 => x"37363534",
850
    00000836 => x"42413938",
851
    00000837 => x"46454443"
852 2 zero_gravi
  );
853
 
854
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.