OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2
-- Auto-generated memory init file (for BOOTLOADER) from source file <bootloader/bootloader.bin>
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_bootloader_image is
8
 
9
  type bootloader_init_image_t is array (0 to 65535) of std_ulogic_vector(31 downto 0);
10
  constant bootloader_init_image : bootloader_init_image_t := (
11
    00000000 => x"fc5015f3",
12
    00000001 => x"fc701673",
13
    00000002 => x"00c58133",
14
    00000003 => x"ffc10113",
15
    00000004 => x"00000597",
16
    00000005 => x"05058593",
17
    00000006 => x"30559073",
18
    00000007 => x"00000013",
19
    00000008 => x"00000093",
20
    00000009 => x"00000193",
21
    00000010 => x"00000213",
22
    00000011 => x"00000293",
23
    00000012 => x"00000313",
24
    00000013 => x"00000393",
25
    00000014 => x"00000413",
26
    00000015 => x"00000493",
27
    00000016 => x"00000513",
28
    00000017 => x"00000593",
29
    00000018 => x"00000613",
30
    00000019 => x"00000693",
31
    00000020 => x"00000713",
32
    00000021 => x"00000793",
33
    00000022 => x"028000ef",
34
    00000023 => x"fa5ff06f",
35
    00000024 => x"ffc10113",
36
    00000025 => x"00112023",
37
    00000026 => x"341010f3",
38
    00000027 => x"00408093",
39
    00000028 => x"34109073",
40
    00000029 => x"00012083",
41
    00000030 => x"00410113",
42
    00000031 => x"30200073",
43
    00000032 => x"fd010113",
44
    00000033 => x"02112623",
45
    00000034 => x"02812423",
46
    00000035 => x"02912223",
47
    00000036 => x"03212023",
48
    00000037 => x"01312e23",
49
    00000038 => x"01412c23",
50
    00000039 => x"01512a23",
51
    00000040 => x"01612823",
52
    00000041 => x"01712623",
53
    00000042 => x"01812423",
54 5 zero_gravi
    00000043 => x"4e9000ef",
55
    00000044 => x"4ad000ef",
56
    00000045 => x"415000ef",
57
    00000046 => x"285000ef",
58
    00000047 => x"4c5000ef",
59
    00000048 => x"26d000ef",
60 2 zero_gravi
    00000049 => x"fc101473",
61
    00000050 => x"026267b7",
62
    00000051 => x"9ff78793",
63
    00000052 => x"00000713",
64
    00000053 => x"00000693",
65
    00000054 => x"00000613",
66
    00000055 => x"00000593",
67
    00000056 => x"00200513",
68
    00000057 => x"0087f463",
69
    00000058 => x"00400513",
70 5 zero_gravi
    00000059 => x"391000ef",
71 2 zero_gravi
    00000060 => x"00005537",
72
    00000061 => x"00000613",
73
    00000062 => x"00000593",
74
    00000063 => x"b0050513",
75 5 zero_gravi
    00000064 => x"279000ef",
76
    00000065 => x"251000ef",
77
    00000066 => x"00245793",
78
    00000067 => x"00a78533",
79
    00000068 => x"00f537b3",
80
    00000069 => x"00b785b3",
81
    00000070 => x"249000ef",
82
    00000071 => x"ffff07b7",
83
    00000072 => x"41478793",
84
    00000073 => x"30579073",
85
    00000074 => x"08000793",
86
    00000075 => x"30479073",
87
    00000076 => x"441000ef",
88
    00000077 => x"00100513",
89
    00000078 => x"41d000ef",
90
    00000079 => x"00000793",
91
    00000080 => x"34079073",
92
    00000081 => x"ffff1537",
93
    00000082 => x"ed850513",
94
    00000083 => x"2d9000ef",
95
    00000084 => x"3bc000ef",
96
    00000085 => x"ffff1537",
97
    00000086 => x"f1050513",
98
    00000087 => x"2c9000ef",
99
    00000088 => x"fc101573",
100
    00000089 => x"240000ef",
101
    00000090 => x"ffff1537",
102
    00000091 => x"f1850513",
103
    00000092 => x"2b5000ef",
104
    00000093 => x"30101573",
105
    00000094 => x"22c000ef",
106
    00000095 => x"ffff1537",
107
    00000096 => x"f2450513",
108
    00000097 => x"2a1000ef",
109
    00000098 => x"fc001573",
110
    00000099 => x"218000ef",
111
    00000100 => x"ffff1537",
112
    00000101 => x"f2c50513",
113
    00000102 => x"28d000ef",
114
    00000103 => x"fc601573",
115
    00000104 => x"ffff14b7",
116
    00000105 => x"200000ef",
117
    00000106 => x"f3448513",
118
    00000107 => x"279000ef",
119
    00000108 => x"fc401573",
120
    00000109 => x"1f0000ef",
121
    00000110 => x"ffff1537",
122
    00000111 => x"f4050513",
123
    00000112 => x"265000ef",
124
    00000113 => x"fc701573",
125
    00000114 => x"1dc000ef",
126
    00000115 => x"f3448513",
127
    00000116 => x"255000ef",
128
    00000117 => x"fc501573",
129
    00000118 => x"1cc000ef",
130
    00000119 => x"ffff1537",
131
    00000120 => x"f4850513",
132
    00000121 => x"241000ef",
133
    00000122 => x"00341413",
134
    00000123 => x"00000493",
135
    00000124 => x"ffff1937",
136
    00000125 => x"fa402783",
137
    00000126 => x"0607d063",
138
    00000127 => x"ffff1537",
139
    00000128 => x"f7850513",
140
    00000129 => x"221000ef",
141
    00000130 => x"ffff1937",
142
    00000131 => x"0f4000ef",
143
    00000132 => x"ffff19b7",
144
    00000133 => x"07200a13",
145
    00000134 => x"06800a93",
146
    00000135 => x"07500b13",
147
    00000136 => x"07300b93",
148
    00000137 => x"ffff14b7",
149
    00000138 => x"ffff1c37",
150
    00000139 => x"f8490513",
151
    00000140 => x"1f5000ef",
152
    00000141 => x"1e1000ef",
153
    00000142 => x"00050413",
154
    00000143 => x"1c9000ef",
155
    00000144 => x"f7498513",
156
    00000145 => x"1e1000ef",
157
    00000146 => x"09440263",
158
    00000147 => x"03541863",
159
    00000148 => x"0b0000ef",
160
    00000149 => x"fd9ff06f",
161
    00000150 => x"0fd000ef",
162
    00000151 => x"00b49463",
163
    00000152 => x"f8856ae3",
164
    00000153 => x"00100513",
165
    00000154 => x"508000ef",
166
    00000155 => x"f7490513",
167
    00000156 => x"1b5000ef",
168
    00000157 => x"098000ef",
169
    00000158 => x"f7dff06f",
170
    00000159 => x"00000513",
171
    00000160 => x"01640e63",
172
    00000161 => x"01741663",
173
    00000162 => x"72c000ef",
174
    00000163 => x"fa1ff06f",
175
    00000164 => x"06c00793",
176
    00000165 => x"00f41863",
177
    00000166 => x"00100513",
178
    00000167 => x"4d4000ef",
179
    00000168 => x"f8dff06f",
180
    00000169 => x"06500793",
181
    00000170 => x"00f41663",
182
    00000171 => x"060000ef",
183
    00000172 => x"f7dff06f",
184
    00000173 => x"03f00793",
185
    00000174 => x"f8cc0513",
186
    00000175 => x"00f40463",
187
    00000176 => x"fa048513",
188
    00000177 => x"161000ef",
189
    00000178 => x"f65ff06f",
190
    00000179 => x"02c12083",
191
    00000180 => x"02812403",
192
    00000181 => x"02412483",
193
    00000182 => x"02012903",
194
    00000183 => x"01c12983",
195
    00000184 => x"01812a03",
196
    00000185 => x"01412a83",
197
    00000186 => x"01012b03",
198
    00000187 => x"00c12b83",
199
    00000188 => x"00812c03",
200
    00000189 => x"00000513",
201
    00000190 => x"03010113",
202
    00000191 => x"00008067",
203
    00000192 => x"ffff1537",
204
    00000193 => x"da850513",
205
    00000194 => x"11d0006f",
206
    00000195 => x"340017f3",
207
    00000196 => x"00079863",
208
    00000197 => x"ffff1537",
209
    00000198 => x"e0c50513",
210
    00000199 => x"1090006f",
211
    00000200 => x"ff010113",
212
    00000201 => x"00112623",
213
    00000202 => x"251000ef",
214
    00000203 => x"00000793",
215
    00000204 => x"30479073",
216
    00000205 => x"ffff1537",
217
    00000206 => x"e2850513",
218
    00000207 => x"0e9000ef",
219
    00000208 => x"fa002783",
220
    00000209 => x"fe07cee3",
221
    00000210 => x"fc4017f3",
222
    00000211 => x"00078067",
223
    00000212 => x"ff9ff06f",
224
    00000213 => x"ff010113",
225
    00000214 => x"00812423",
226
    00000215 => x"00050413",
227
    00000216 => x"ffff1537",
228
    00000217 => x"e3850513",
229
    00000218 => x"00112623",
230
    00000219 => x"0b9000ef",
231
    00000220 => x"00500793",
232
    00000221 => x"0287e063",
233
    00000222 => x"03040513",
234
    00000223 => x"0ff57513",
235
    00000224 => x"085000ef",
236
    00000225 => x"1f5000ef",
237
    00000226 => x"00100513",
238
    00000227 => x"1c9000ef",
239
    00000228 => x"0000006f",
240
    00000229 => x"ffff1537",
241
    00000230 => x"e4050513",
242
    00000231 => x"089000ef",
243
    00000232 => x"fe5ff06f",
244
    00000233 => x"fe010113",
245
    00000234 => x"01212823",
246
    00000235 => x"00050913",
247
    00000236 => x"ffff1537",
248
    00000237 => x"00912a23",
249
    00000238 => x"e4850513",
250
    00000239 => x"ffff14b7",
251
    00000240 => x"00812c23",
252
    00000241 => x"01312623",
253
    00000242 => x"00112e23",
254
    00000243 => x"01c00413",
255
    00000244 => x"055000ef",
256
    00000245 => x"fac48493",
257
    00000246 => x"ffc00993",
258
    00000247 => x"008957b3",
259
    00000248 => x"00f7f793",
260
    00000249 => x"00f487b3",
261
    00000250 => x"0007c503",
262
    00000251 => x"ffc40413",
263
    00000252 => x"015000ef",
264
    00000253 => x"ff3414e3",
265
    00000254 => x"01c12083",
266
    00000255 => x"01812403",
267
    00000256 => x"01412483",
268
    00000257 => x"01012903",
269
    00000258 => x"00c12983",
270
    00000259 => x"02010113",
271
    00000260 => x"00008067",
272
    00000261 => x"fb010113",
273
    00000262 => x"04112623",
274
    00000263 => x"04512423",
275
    00000264 => x"04612223",
276
    00000265 => x"04712023",
277
    00000266 => x"02812e23",
278
    00000267 => x"02a12c23",
279
    00000268 => x"02b12a23",
280
    00000269 => x"02c12823",
281
    00000270 => x"02d12623",
282
    00000271 => x"02e12423",
283
    00000272 => x"02f12223",
284
    00000273 => x"03012023",
285
    00000274 => x"01112e23",
286
    00000275 => x"01c12c23",
287
    00000276 => x"01d12a23",
288
    00000277 => x"01e12823",
289
    00000278 => x"01f12623",
290
    00000279 => x"34201473",
291
    00000280 => x"800007b7",
292
    00000281 => x"00778793",
293
    00000282 => x"02f40a63",
294
    00000283 => x"ffff1537",
295
    00000284 => x"e4c50513",
296
    00000285 => x"7b0000ef",
297
    00000286 => x"00040513",
298
    00000287 => x"f29ff0ef",
299
    00000288 => x"ffff1537",
300
    00000289 => x"e5c50513",
301
    00000290 => x"79c000ef",
302
    00000291 => x"34101573",
303
    00000292 => x"f15ff0ef",
304
    00000293 => x"00500513",
305
    00000294 => x"ebdff0ef",
306
    00000295 => x"00000513",
307
    00000296 => x"095000ef",
308
    00000297 => x"6b0000ef",
309
    00000298 => x"fc1017f3",
310
    00000299 => x"0027d793",
311
    00000300 => x"00a78533",
312
    00000301 => x"00f537b3",
313
    00000302 => x"00b785b3",
314
    00000303 => x"6a4000ef",
315
    00000304 => x"03c12403",
316
    00000305 => x"04c12083",
317
    00000306 => x"04812283",
318
    00000307 => x"04412303",
319
    00000308 => x"04012383",
320
    00000309 => x"03812503",
321
    00000310 => x"03412583",
322
    00000311 => x"03012603",
323
    00000312 => x"02c12683",
324
    00000313 => x"02812703",
325
    00000314 => x"02412783",
326
    00000315 => x"02012803",
327
    00000316 => x"01c12883",
328
    00000317 => x"01812e03",
329
    00000318 => x"01412e83",
330
    00000319 => x"01012f03",
331
    00000320 => x"00c12f83",
332
    00000321 => x"05010113",
333
    00000322 => x"30200073",
334
    00000323 => x"fe010113",
335
    00000324 => x"00112e23",
336
    00000325 => x"00812c23",
337
    00000326 => x"00912a23",
338
    00000327 => x"01212823",
339
    00000328 => x"01312623",
340
    00000329 => x"01412423",
341
    00000330 => x"01512223",
342
    00000331 => x"f1301973",
343
    00000332 => x"00000a93",
344
    00000333 => x"00900993",
345
    00000334 => x"00300a13",
346
    00000335 => x"00400493",
347
    00000336 => x"41500433",
348
    00000337 => x"00341413",
349
    00000338 => x"01840413",
350
    00000339 => x"00895433",
351
    00000340 => x"0ff47413",
352
    00000341 => x"00000513",
353
    00000342 => x"0489ec63",
354
    00000343 => x"00050863",
355
    00000344 => x"03050513",
356
    00000345 => x"0ff57513",
357
    00000346 => x"69c000ef",
358
    00000347 => x"03040513",
359 4 zero_gravi
    00000348 => x"0ff57513",
360 5 zero_gravi
    00000349 => x"690000ef",
361
    00000350 => x"014a8663",
362
    00000351 => x"02e00513",
363
    00000352 => x"684000ef",
364
    00000353 => x"001a8a93",
365
    00000354 => x"fa9a9ce3",
366
    00000355 => x"01c12083",
367
    00000356 => x"01812403",
368
    00000357 => x"01412483",
369
    00000358 => x"01012903",
370
    00000359 => x"00c12983",
371
    00000360 => x"00812a03",
372
    00000361 => x"00412a83",
373
    00000362 => x"02010113",
374
    00000363 => x"00008067",
375
    00000364 => x"ff640413",
376
    00000365 => x"00150513",
377
    00000366 => x"0ff47413",
378
    00000367 => x"0ff57513",
379
    00000368 => x"f99ff06f",
380
    00000369 => x"ff010113",
381
    00000370 => x"00000513",
382
    00000371 => x"00112623",
383
    00000372 => x"00812423",
384
    00000373 => x"708000ef",
385
    00000374 => x"00500513",
386
    00000375 => x"744000ef",
387
    00000376 => x"00000513",
388
    00000377 => x"73c000ef",
389
    00000378 => x"00050413",
390 4 zero_gravi
    00000379 => x"00000513",
391 5 zero_gravi
    00000380 => x"70c000ef",
392
    00000381 => x"00c12083",
393
    00000382 => x"0ff47513",
394
    00000383 => x"00812403",
395
    00000384 => x"01010113",
396
    00000385 => x"00008067",
397
    00000386 => x"ff010113",
398
    00000387 => x"00000513",
399
    00000388 => x"00112623",
400
    00000389 => x"00812423",
401
    00000390 => x"6c4000ef",
402
    00000391 => x"09e00513",
403
    00000392 => x"700000ef",
404
    00000393 => x"00000513",
405
    00000394 => x"6f8000ef",
406
    00000395 => x"00050413",
407 4 zero_gravi
    00000396 => x"00000513",
408 5 zero_gravi
    00000397 => x"6c8000ef",
409
    00000398 => x"00c12083",
410
    00000399 => x"0ff47513",
411
    00000400 => x"00812403",
412
    00000401 => x"01010113",
413
    00000402 => x"00008067",
414
    00000403 => x"ff010113",
415
    00000404 => x"00000513",
416
    00000405 => x"00112623",
417
    00000406 => x"684000ef",
418
    00000407 => x"00600513",
419
    00000408 => x"6c0000ef",
420
    00000409 => x"00c12083",
421
    00000410 => x"00000513",
422
    00000411 => x"01010113",
423
    00000412 => x"68c0006f",
424
    00000413 => x"ff010113",
425
    00000414 => x"00812423",
426
    00000415 => x"00050413",
427
    00000416 => x"01055513",
428
    00000417 => x"0ff57513",
429
    00000418 => x"00112623",
430
    00000419 => x"694000ef",
431
    00000420 => x"00845513",
432
    00000421 => x"0ff57513",
433
    00000422 => x"688000ef",
434
    00000423 => x"0ff47513",
435
    00000424 => x"00812403",
436
    00000425 => x"00c12083",
437
    00000426 => x"01010113",
438
    00000427 => x"6740006f",
439
    00000428 => x"ff010113",
440
    00000429 => x"00812423",
441
    00000430 => x"00050413",
442
    00000431 => x"00000513",
443
    00000432 => x"00112623",
444
    00000433 => x"618000ef",
445
    00000434 => x"00300513",
446
    00000435 => x"654000ef",
447
    00000436 => x"00040513",
448
    00000437 => x"fa1ff0ef",
449
    00000438 => x"00000513",
450
    00000439 => x"644000ef",
451
    00000440 => x"00050413",
452 4 zero_gravi
    00000441 => x"00000513",
453 5 zero_gravi
    00000442 => x"614000ef",
454
    00000443 => x"00c12083",
455
    00000444 => x"0ff47513",
456
    00000445 => x"00812403",
457
    00000446 => x"01010113",
458
    00000447 => x"00008067",
459
    00000448 => x"fd010113",
460
    00000449 => x"02812423",
461
    00000450 => x"02912223",
462
    00000451 => x"03212023",
463
    00000452 => x"01312e23",
464
    00000453 => x"02112623",
465
    00000454 => x"00050493",
466
    00000455 => x"00300413",
467
    00000456 => x"00358913",
468
    00000457 => x"fff00993",
469
    00000458 => x"02049e63",
470
    00000459 => x"4e8000ef",
471
    00000460 => x"00c10793",
472
    00000461 => x"008787b3",
473
    00000462 => x"00a78023",
474
    00000463 => x"fff40413",
475
    00000464 => x"ff3414e3",
476
    00000465 => x"02c12083",
477
    00000466 => x"02812403",
478
    00000467 => x"00c12503",
479
    00000468 => x"02412483",
480
    00000469 => x"02012903",
481
    00000470 => x"01c12983",
482
    00000471 => x"03010113",
483
    00000472 => x"00008067",
484
    00000473 => x"40890533",
485
    00000474 => x"f49ff0ef",
486
    00000475 => x"fc5ff06f",
487
    00000476 => x"fd010113",
488
    00000477 => x"02112623",
489
    00000478 => x"02812423",
490
    00000479 => x"02912223",
491
    00000480 => x"03212023",
492
    00000481 => x"01312e23",
493
    00000482 => x"01412c23",
494
    00000483 => x"01512a23",
495
    00000484 => x"01612823",
496
    00000485 => x"01712623",
497
    00000486 => x"fc001473",
498
    00000487 => x"00847413",
499
    00000488 => x"00040663",
500
    00000489 => x"00400513",
501
    00000490 => x"badff0ef",
502
    00000491 => x"00050493",
503
    00000492 => x"02051863",
504
    00000493 => x"ffff1537",
505
    00000494 => x"e6450513",
506
    00000495 => x"468000ef",
507
    00000496 => x"000405b7",
508
    00000497 => x"00048513",
509
    00000498 => x"f39ff0ef",
510
    00000499 => x"4788d7b7",
511
    00000500 => x"afe78793",
512
    00000501 => x"02f50463",
513
    00000502 => x"00000513",
514
    00000503 => x"fcdff06f",
515
    00000504 => x"ffff1537",
516
    00000505 => x"e8450513",
517
    00000506 => x"43c000ef",
518
    00000507 => x"e1dff0ef",
519
    00000508 => x"fc0518e3",
520
    00000509 => x"00300513",
521
    00000510 => x"fb1ff06f",
522
    00000511 => x"00040a37",
523
    00000512 => x"004a0593",
524
    00000513 => x"00048513",
525
    00000514 => x"ef9ff0ef",
526
    00000515 => x"00050913",
527
    00000516 => x"008a0593",
528
    00000517 => x"00048513",
529
    00000518 => x"ee9ff0ef",
530
    00000519 => x"00050a93",
531
    00000520 => x"fc6017f3",
532
    00000521 => x"00100513",
533
    00000522 => x"f927e0e3",
534
    00000523 => x"fc401bf3",
535
    00000524 => x"00000993",
536
    00000525 => x"ffc97b13",
537
    00000526 => x"00ca0a13",
538
    00000527 => x"014985b3",
539
    00000528 => x"053b1663",
540
    00000529 => x"01540433",
541
    00000530 => x"00200513",
542
    00000531 => x"f4041ee3",
543
    00000532 => x"ffff1537",
544
    00000533 => x"e9050513",
545
    00000534 => x"3cc000ef",
546
    00000535 => x"34091073",
547
    00000536 => x"02c12083",
548
    00000537 => x"02812403",
549
    00000538 => x"02412483",
550
    00000539 => x"02012903",
551
    00000540 => x"01c12983",
552
    00000541 => x"01812a03",
553
    00000542 => x"01412a83",
554
    00000543 => x"01012b03",
555
    00000544 => x"00c12b83",
556
    00000545 => x"03010113",
557
    00000546 => x"00008067",
558
    00000547 => x"00048513",
559
    00000548 => x"e71ff0ef",
560
    00000549 => x"017987b3",
561
    00000550 => x"00a40433",
562
    00000551 => x"00a7a023",
563
    00000552 => x"00498993",
564
    00000553 => x"f99ff06f",
565
    00000554 => x"ff010113",
566
    00000555 => x"00112623",
567
    00000556 => x"00812423",
568
    00000557 => x"00912223",
569
    00000558 => x"00058413",
570
    00000559 => x"00050493",
571
    00000560 => x"d8dff0ef",
572
    00000561 => x"00000513",
573
    00000562 => x"414000ef",
574
    00000563 => x"00200513",
575
    00000564 => x"450000ef",
576
    00000565 => x"00048513",
577
    00000566 => x"d9dff0ef",
578
    00000567 => x"00040513",
579
    00000568 => x"440000ef",
580
    00000569 => x"00000513",
581
    00000570 => x"414000ef",
582
    00000571 => x"cd9ff0ef",
583
    00000572 => x"00157513",
584
    00000573 => x"fe051ce3",
585
    00000574 => x"00c12083",
586
    00000575 => x"00812403",
587
    00000576 => x"00412483",
588
    00000577 => x"01010113",
589
    00000578 => x"00008067",
590
    00000579 => x"fe010113",
591
    00000580 => x"00812c23",
592
    00000581 => x"00912a23",
593
    00000582 => x"01212823",
594
    00000583 => x"00112e23",
595
    00000584 => x"00b12623",
596
    00000585 => x"00300413",
597
    00000586 => x"00350493",
598
    00000587 => x"fff00913",
599
    00000588 => x"00c10793",
600
    00000589 => x"008787b3",
601
    00000590 => x"0007c583",
602
    00000591 => x"40848533",
603
    00000592 => x"fff40413",
604
    00000593 => x"f65ff0ef",
605
    00000594 => x"ff2414e3",
606
    00000595 => x"01c12083",
607
    00000596 => x"01812403",
608
    00000597 => x"01412483",
609
    00000598 => x"01012903",
610
    00000599 => x"02010113",
611
    00000600 => x"00008067",
612
    00000601 => x"ff010113",
613
    00000602 => x"00112623",
614
    00000603 => x"00812423",
615
    00000604 => x"00050413",
616
    00000605 => x"cd9ff0ef",
617
    00000606 => x"00000513",
618
    00000607 => x"360000ef",
619
    00000608 => x"0d800513",
620
    00000609 => x"39c000ef",
621
    00000610 => x"00040513",
622
    00000611 => x"ce9ff0ef",
623
    00000612 => x"00000513",
624
    00000613 => x"368000ef",
625
    00000614 => x"c2dff0ef",
626
    00000615 => x"00157513",
627
    00000616 => x"fe051ce3",
628
    00000617 => x"00c12083",
629
    00000618 => x"00812403",
630
    00000619 => x"01010113",
631
    00000620 => x"00008067",
632
    00000621 => x"fe010113",
633
    00000622 => x"00112e23",
634
    00000623 => x"00812c23",
635
    00000624 => x"00912a23",
636
    00000625 => x"01212823",
637
    00000626 => x"01312623",
638
    00000627 => x"01412423",
639
    00000628 => x"01512223",
640
    00000629 => x"34001473",
641
    00000630 => x"02041863",
642
    00000631 => x"ffff1537",
643
    00000632 => x"e0c50513",
644
    00000633 => x"01812403",
645
    00000634 => x"01c12083",
646
    00000635 => x"01412483",
647
    00000636 => x"01012903",
648
    00000637 => x"00c12983",
649
    00000638 => x"00812a03",
650
    00000639 => x"00412a83",
651
    00000640 => x"02010113",
652
    00000641 => x"2200006f",
653
    00000642 => x"ffff1537",
654
    00000643 => x"e9450513",
655
    00000644 => x"214000ef",
656
    00000645 => x"00040513",
657
    00000646 => x"98dff0ef",
658
    00000647 => x"ffff1537",
659
    00000648 => x"ea050513",
660
    00000649 => x"200000ef",
661
    00000650 => x"00040537",
662
    00000651 => x"979ff0ef",
663
    00000652 => x"ffff1537",
664
    00000653 => x"ebc50513",
665
    00000654 => x"1ec000ef",
666
    00000655 => x"1d8000ef",
667
    00000656 => x"00050493",
668
    00000657 => x"1c0000ef",
669
    00000658 => x"07900793",
670
    00000659 => x"0af49e63",
671
    00000660 => x"bb9ff0ef",
672
    00000661 => x"00051663",
673
    00000662 => x"00300513",
674
    00000663 => x"8f9ff0ef",
675
    00000664 => x"ffff1537",
676
    00000665 => x"ec850513",
677
    00000666 => x"01045493",
678
    00000667 => x"1b8000ef",
679
    00000668 => x"00148493",
680
    00000669 => x"00040937",
681
    00000670 => x"fff00993",
682
    00000671 => x"00010a37",
683
    00000672 => x"fff48493",
684
    00000673 => x"07349063",
685
    00000674 => x"4788d5b7",
686
    00000675 => x"afe58593",
687
    00000676 => x"00040537",
688
    00000677 => x"e79ff0ef",
689
    00000678 => x"00040537",
690
    00000679 => x"00040593",
691
    00000680 => x"00450513",
692
    00000681 => x"e69ff0ef",
693
    00000682 => x"fc401a73",
694
    00000683 => x"000409b7",
695
    00000684 => x"ffc47413",
696
    00000685 => x"00000493",
697
    00000686 => x"00000913",
698
    00000687 => x"00c98a93",
699
    00000688 => x"01548533",
700
    00000689 => x"014487b3",
701
    00000690 => x"02849663",
702
    00000691 => x"00898513",
703
    00000692 => x"412005b3",
704
    00000693 => x"e39ff0ef",
705
    00000694 => x"ffff1537",
706
    00000695 => x"e9050513",
707
    00000696 => x"f05ff06f",
708
    00000697 => x"00090513",
709
    00000698 => x"e7dff0ef",
710
    00000699 => x"01490933",
711
    00000700 => x"f91ff06f",
712
    00000701 => x"0007a583",
713
    00000702 => x"00448493",
714
    00000703 => x"00b90933",
715
    00000704 => x"e0dff0ef",
716
    00000705 => x"fbdff06f",
717
    00000706 => x"01c12083",
718
    00000707 => x"01812403",
719
    00000708 => x"01412483",
720
    00000709 => x"01012903",
721
    00000710 => x"00c12983",
722
    00000711 => x"00812a03",
723
    00000712 => x"00412a83",
724
    00000713 => x"02010113",
725
    00000714 => x"00008067",
726
    00000715 => x"000047b7",
727
    00000716 => x"70078793",
728
    00000717 => x"f8f02623",
729
    00000718 => x"00008067",
730
    00000719 => x"fc000713",
731
    00000720 => x"00072783",
732
    00000721 => x"00179793",
733
    00000722 => x"0017d793",
734
    00000723 => x"00f72023",
735
    00000724 => x"00008067",
736
    00000725 => x"f9002503",
737
    00000726 => x"f9402583",
738 2 zero_gravi
    00000727 => x"00008067",
739 5 zero_gravi
    00000728 => x"f9800693",
740
    00000729 => x"fff00613",
741
    00000730 => x"00c6a023",
742
    00000731 => x"00a6a023",
743
    00000732 => x"00b6a223",
744
    00000733 => x"00008067",
745
    00000734 => x"fa002023",
746
    00000735 => x"fc1016f3",
747
    00000736 => x"00000713",
748
    00000737 => x"00151513",
749
    00000738 => x"04a6f263",
750
    00000739 => x"000016b7",
751
    00000740 => x"00000793",
752
    00000741 => x"ffe68693",
753
    00000742 => x"04e6e463",
754
    00000743 => x"00167613",
755
    00000744 => x"0015f593",
756
    00000745 => x"01879793",
757
    00000746 => x"01e61613",
758
    00000747 => x"00c7e7b3",
759
    00000748 => x"01d59593",
760
    00000749 => x"00b7e7b3",
761
    00000750 => x"00e7e7b3",
762
    00000751 => x"10000737",
763
    00000752 => x"00e7e7b3",
764
    00000753 => x"faf02023",
765
    00000754 => x"00008067",
766
    00000755 => x"00170793",
767
    00000756 => x"01079713",
768
    00000757 => x"40a686b3",
769
    00000758 => x"01075713",
770
    00000759 => x"fadff06f",
771
    00000760 => x"ffe78513",
772
    00000761 => x"0fd57513",
773
    00000762 => x"00051a63",
774
    00000763 => x"00375713",
775
    00000764 => x"00178793",
776
    00000765 => x"0ff7f793",
777
    00000766 => x"fa1ff06f",
778
    00000767 => x"00175713",
779
    00000768 => x"ff1ff06f",
780
    00000769 => x"fa002783",
781
    00000770 => x"fe07cee3",
782
    00000771 => x"faa02223",
783
    00000772 => x"00008067",
784
    00000773 => x"fa402503",
785
    00000774 => x"fe055ee3",
786
    00000775 => x"0ff57513",
787
    00000776 => x"00008067",
788
    00000777 => x"ff010113",
789
    00000778 => x"00812423",
790
    00000779 => x"01212023",
791
    00000780 => x"00112623",
792
    00000781 => x"00912223",
793
    00000782 => x"00050413",
794
    00000783 => x"00a00913",
795
    00000784 => x"00044483",
796
    00000785 => x"00140413",
797
    00000786 => x"00049e63",
798
    00000787 => x"00c12083",
799
    00000788 => x"00812403",
800
    00000789 => x"00412483",
801
    00000790 => x"00012903",
802
    00000791 => x"01010113",
803
    00000792 => x"00008067",
804
    00000793 => x"01249663",
805
    00000794 => x"00d00513",
806
    00000795 => x"f99ff0ef",
807
    00000796 => x"00048513",
808
    00000797 => x"f91ff0ef",
809
    00000798 => x"fc9ff06f",
810
    00000799 => x"00757513",
811
    00000800 => x"00177793",
812
    00000801 => x"01079793",
813
    00000802 => x"0036f693",
814
    00000803 => x"00a51513",
815
    00000804 => x"00f56533",
816
    00000805 => x"00167613",
817
    00000806 => x"00e69793",
818
    00000807 => x"0015f593",
819
    00000808 => x"00f567b3",
820
    00000809 => x"00d61613",
821
    00000810 => x"00c7e7b3",
822
    00000811 => x"00959593",
823
    00000812 => x"fa800813",
824
    00000813 => x"00b7e7b3",
825
    00000814 => x"00082023",
826
    00000815 => x"1007e793",
827
    00000816 => x"00f82023",
828
    00000817 => x"00008067",
829
    00000818 => x"fa800713",
830
    00000819 => x"00072783",
831
    00000820 => x"eff7f793",
832
    00000821 => x"00f72023",
833
    00000822 => x"00008067",
834
    00000823 => x"fa800713",
835
    00000824 => x"00072683",
836
    00000825 => x"00757793",
837
    00000826 => x"00100513",
838
    00000827 => x"00f51533",
839
    00000828 => x"00d56533",
840
    00000829 => x"00a72023",
841
    00000830 => x"00008067",
842
    00000831 => x"fa800713",
843
    00000832 => x"00072683",
844
    00000833 => x"00757513",
845
    00000834 => x"00100793",
846
    00000835 => x"00a797b3",
847
    00000836 => x"fff7c793",
848
    00000837 => x"00d7f7b3",
849
    00000838 => x"00f72023",
850
    00000839 => x"00008067",
851
    00000840 => x"faa02623",
852
    00000841 => x"fa802783",
853
    00000842 => x"fe07cee3",
854
    00000843 => x"fac02503",
855 2 zero_gravi
    00000844 => x"00008067",
856 5 zero_gravi
    00000845 => x"f8400713",
857 2 zero_gravi
    00000846 => x"00072683",
858 5 zero_gravi
    00000847 => x"00f57793",
859
    00000848 => x"00100513",
860
    00000849 => x"00f51533",
861
    00000850 => x"00d54533",
862
    00000851 => x"00a72023",
863
    00000852 => x"00008067",
864
    00000853 => x"f8a02223",
865
    00000854 => x"00008067",
866
    00000855 => x"fb800713",
867
    00000856 => x"00072783",
868
    00000857 => x"ffe7f793",
869
    00000858 => x"00f72023",
870
    00000859 => x"00008067",
871
    00000860 => x"30046073",
872
    00000861 => x"00008067",
873
    00000862 => x"30047073",
874
    00000863 => x"00008067",
875
    00000864 => x"fb000713",
876
    00000865 => x"00072783",
877
    00000866 => x"ff77f793",
878
    00000867 => x"00f72023",
879 2 zero_gravi
    00000868 => x"00008067",
880 5 zero_gravi
    00000869 => x"f8800713",
881 2 zero_gravi
    00000870 => x"00072783",
882 5 zero_gravi
    00000871 => x"fef7f793",
883 2 zero_gravi
    00000872 => x"00f72023",
884
    00000873 => x"00008067",
885 5 zero_gravi
    00000874 => x"69617641",
886
    00000875 => x"6c62616c",
887
    00000876 => x"4d432065",
888
    00000877 => x"0a3a7344",
889
    00000878 => x"203a6820",
890
    00000879 => x"706c6548",
891
    00000880 => x"3a72200a",
892
    00000881 => x"73655220",
893
    00000882 => x"74726174",
894
    00000883 => x"3a75200a",
895
    00000884 => x"6c705520",
896
    00000885 => x"0a64616f",
897
    00000886 => x"203a7320",
898
    00000887 => x"726f7453",
899
    00000888 => x"6f742065",
900
    00000889 => x"616c6620",
901
    00000890 => x"200a6873",
902
    00000891 => x"4c203a6c",
903
    00000892 => x"2064616f",
904
    00000893 => x"6d6f7266",
905
    00000894 => x"616c6620",
906
    00000895 => x"200a6873",
907
    00000896 => x"45203a65",
908
    00000897 => x"75636578",
909
    00000898 => x"00006574",
910
    00000899 => x"65206f4e",
911
    00000900 => x"75636578",
912
    00000901 => x"6c626174",
913
    00000902 => x"76612065",
914
    00000903 => x"616c6961",
915
    00000904 => x"2e656c62",
916
    00000905 => x"00000000",
917
    00000906 => x"746f6f42",
918
    00000907 => x"2e676e69",
919
    00000908 => x"0a0a2e2e",
920
    00000909 => x"00000000",
921
    00000910 => x"52450a07",
922
    00000911 => x"00005f52",
923
    00000912 => x"6e6b6e75",
924
    00000913 => x"006e776f",
925
    00000914 => x"00007830",
926
    00000915 => x"58450a0a",
927
    00000916 => x"54504543",
928
    00000917 => x"3a4e4f49",
929
    00000918 => x"00000020",
930
    00000919 => x"30204020",
931
    00000920 => x"00000078",
932
    00000921 => x"69617741",
933
    00000922 => x"676e6974",
934
    00000923 => x"6f656e20",
935
    00000924 => x"32337672",
936
    00000925 => x"6578655f",
937
    00000926 => x"6e69622e",
938
    00000927 => x"202e2e2e",
939
    00000928 => x"00000000",
940
    00000929 => x"64616f4c",
941
    00000930 => x"2e676e69",
942
    00000931 => x"00202e2e",
943
    00000932 => x"00004b4f",
944
    00000933 => x"74697257",
945
    00000934 => x"78302065",
946
    00000935 => x"00000000",
947
    00000936 => x"74796220",
948
    00000937 => x"74207365",
949
    00000938 => x"5053206f",
950
    00000939 => x"6c662049",
951
    00000940 => x"20687361",
952
    00000941 => x"78302040",
953 4 zero_gravi
    00000942 => x"00000000",
954 5 zero_gravi
    00000943 => x"7928203f",
955
    00000944 => x"20296e2f",
956
    00000945 => x"00000000",
957
    00000946 => x"616c460a",
958
    00000947 => x"6e696873",
959
    00000948 => x"2e2e2e67",
960
    00000949 => x"00000020",
961
    00000950 => x"0a0a0a0a",
962
    00000951 => x"4e203c3c",
963
    00000952 => x"56524f45",
964
    00000953 => x"42203233",
965
    00000954 => x"6c746f6f",
966
    00000955 => x"6564616f",
967
    00000956 => x"3e3e2072",
968
    00000957 => x"4c420a0a",
969
    00000958 => x"203a5644",
970
    00000959 => x"206e754a",
971
    00000960 => x"32203732",
972
    00000961 => x"0a303230",
973
    00000962 => x"3a565748",
974
    00000963 => x"00002020",
975
    00000964 => x"4b4c430a",
976
    00000965 => x"0020203a",
977
    00000966 => x"0a7a4820",
978
    00000967 => x"4153494d",
979
    00000968 => x"0000203a",
980
    00000969 => x"4e4f430a",
981
    00000970 => x"00203a46",
982
    00000971 => x"454d490a",
983
    00000972 => x"00203a4d",
984
    00000973 => x"74796220",
985
    00000974 => x"40207365",
986
    00000975 => x"00000020",
987
    00000976 => x"454d440a",
988
    00000977 => x"00203a4d",
989
    00000978 => x"75410a0a",
990
    00000979 => x"6f626f74",
991
    00000980 => x"6920746f",
992
    00000981 => x"3828206e",
993
    00000982 => x"202e7329",
994
    00000983 => x"73657250",
995
    00000984 => x"656b2073",
996
    00000985 => x"6f742079",
997
    00000986 => x"6f626120",
998
    00000987 => x"0a2e7472",
999
    00000988 => x"00000000",
1000
    00000989 => x"0000000a",
1001
    00000990 => x"726f6241",
1002
    00000991 => x"2e646574",
1003
    00000992 => x"00000a0a",
1004
    00000993 => x"444d430a",
1005
    00000994 => x"00203e3a",
1006
    00000995 => x"53207962",
1007
    00000996 => x"68706574",
1008
    00000997 => x"4e206e61",
1009
    00000998 => x"69746c6f",
1010
    00000999 => x"0000676e",
1011
    00001000 => x"61766e49",
1012
    00001001 => x"2064696c",
1013
    00001002 => x"00444d43",
1014
    00001003 => x"33323130",
1015
    00001004 => x"37363534",
1016
    00001005 => x"42413938",
1017
    00001006 => x"46454443",
1018 2 zero_gravi
    others =>   x"00000000"
1019
  );
1020
 
1021
end neorv32_bootloader_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.