OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32
2 21 zero_gravi
-- Auto-generated memory init file (for BOOTLOADER) from source file <bootloader/main.bin>
3 65 zero_gravi
-- Size: 4088 bytes
4 2 zero_gravi
 
5
library ieee;
6
use ieee.std_logic_1164.all;
7
 
8 61 zero_gravi
library neorv32;
9
use neorv32.neorv32_package.all;
10
 
11 2 zero_gravi
package neorv32_bootloader_image is
12
 
13 61 zero_gravi
  constant bootloader_init_image : mem32_t := (
14 62 zero_gravi
    00000000 => x"00000037",
15 61 zero_gravi
    00000001 => x"80010117",
16
    00000002 => x"1f810113",
17
    00000003 => x"80010197",
18
    00000004 => x"7f418193",
19
    00000005 => x"00000517",
20
    00000006 => x"0d450513",
21
    00000007 => x"30551073",
22
    00000008 => x"34151073",
23
    00000009 => x"30001073",
24
    00000010 => x"30401073",
25
    00000011 => x"30601073",
26
    00000012 => x"ffa00593",
27
    00000013 => x"32059073",
28
    00000014 => x"b0001073",
29
    00000015 => x"b8001073",
30
    00000016 => x"b0201073",
31
    00000017 => x"b8201073",
32
    00000018 => x"00000093",
33
    00000019 => x"00000213",
34
    00000020 => x"00000293",
35
    00000021 => x"00000313",
36
    00000022 => x"00000393",
37
    00000023 => x"00000713",
38
    00000024 => x"00000793",
39
    00000025 => x"00010417",
40
    00000026 => x"d9c40413",
41
    00000027 => x"00010497",
42
    00000028 => x"f9448493",
43
    00000029 => x"00042023",
44
    00000030 => x"00440413",
45
    00000031 => x"fe941ce3",
46
    00000032 => x"80010597",
47
    00000033 => x"f8058593",
48
    00000034 => x"80818613",
49
    00000035 => x"00c5d863",
50
    00000036 => x"00058023",
51
    00000037 => x"00158593",
52
    00000038 => x"ff5ff06f",
53
    00000039 => x"00001597",
54 65 zero_gravi
    00000040 => x"f5c58593",
55 61 zero_gravi
    00000041 => x"80010617",
56
    00000042 => x"f5c60613",
57
    00000043 => x"80010697",
58
    00000044 => x"f5468693",
59
    00000045 => x"00d65c63",
60
    00000046 => x"00058703",
61
    00000047 => x"00e60023",
62
    00000048 => x"00158593",
63
    00000049 => x"00160613",
64
    00000050 => x"fedff06f",
65
    00000051 => x"00000513",
66
    00000052 => x"00000593",
67
    00000053 => x"060000ef",
68
    00000054 => x"34051073",
69
    00000055 => x"30047073",
70
    00000056 => x"10500073",
71
    00000057 => x"ffdff06f",
72
    00000058 => x"ff810113",
73
    00000059 => x"00812023",
74
    00000060 => x"00912223",
75
    00000061 => x"34202473",
76
    00000062 => x"02044663",
77
    00000063 => x"34102473",
78
    00000064 => x"00041483",
79
    00000065 => x"0034f493",
80
    00000066 => x"00240413",
81
    00000067 => x"34141073",
82
    00000068 => x"00300413",
83
    00000069 => x"00941863",
84
    00000070 => x"34102473",
85 60 zero_gravi
    00000071 => x"00240413",
86
    00000072 => x"34141073",
87 61 zero_gravi
    00000073 => x"00012403",
88
    00000074 => x"00412483",
89
    00000075 => x"00810113",
90
    00000076 => x"30200073",
91
    00000077 => x"fd010113",
92
    00000078 => x"02912223",
93
    00000079 => x"800004b7",
94
    00000080 => x"00048793",
95
    00000081 => x"02112623",
96
    00000082 => x"02812423",
97
    00000083 => x"03212023",
98
    00000084 => x"01312e23",
99
    00000085 => x"01412c23",
100
    00000086 => x"01512a23",
101
    00000087 => x"01612823",
102
    00000088 => x"01712623",
103
    00000089 => x"01812423",
104
    00000090 => x"01912223",
105
    00000091 => x"0007a023",
106
    00000092 => x"8001a223",
107
    00000093 => x"ffff07b7",
108 65 zero_gravi
    00000094 => x"4bc78793",
109 61 zero_gravi
    00000095 => x"30579073",
110 65 zero_gravi
    00000096 => x"00000693",
111
    00000097 => x"00000613",
112
    00000098 => x"00000593",
113
    00000099 => x"00200513",
114
    00000100 => x"399000ef",
115
    00000101 => x"42d000ef",
116
    00000102 => x"00048493",
117
    00000103 => x"00050863",
118
    00000104 => x"00100513",
119
    00000105 => x"00000593",
120
    00000106 => x"459000ef",
121
    00000107 => x"00005537",
122
    00000108 => x"00000613",
123
    00000109 => x"00000593",
124
    00000110 => x"b0050513",
125
    00000111 => x"1f9000ef",
126
    00000112 => x"1bd000ef",
127
    00000113 => x"02050a63",
128
    00000114 => x"325000ef",
129
    00000115 => x"fe002783",
130
    00000116 => x"0027d793",
131
    00000117 => x"00a78533",
132
    00000118 => x"00f537b3",
133
    00000119 => x"00b785b3",
134
    00000120 => x"1ad000ef",
135
    00000121 => x"08000793",
136
    00000122 => x"30479073",
137
    00000123 => x"30046073",
138 60 zero_gravi
    00000124 => x"00000013",
139 65 zero_gravi
    00000125 => x"00000013",
140
    00000126 => x"ffff1537",
141
    00000127 => x"f2850513",
142
    00000128 => x"295000ef",
143
    00000129 => x"f1302573",
144
    00000130 => x"244000ef",
145
    00000131 => x"ffff1537",
146
    00000132 => x"f6050513",
147
    00000133 => x"281000ef",
148
    00000134 => x"fe002503",
149
    00000135 => x"230000ef",
150
    00000136 => x"ffff1537",
151
    00000137 => x"f6850513",
152
    00000138 => x"26d000ef",
153
    00000139 => x"30102573",
154
    00000140 => x"21c000ef",
155
    00000141 => x"ffff1537",
156
    00000142 => x"f7050513",
157
    00000143 => x"259000ef",
158
    00000144 => x"fe402503",
159
    00000145 => x"ffff1437",
160
    00000146 => x"204000ef",
161
    00000147 => x"ffff1537",
162
    00000148 => x"f7850513",
163
    00000149 => x"241000ef",
164
    00000150 => x"fe802503",
165
    00000151 => x"1f0000ef",
166
    00000152 => x"ffff1537",
167
    00000153 => x"f8050513",
168
    00000154 => x"22d000ef",
169
    00000155 => x"ff802503",
170
    00000156 => x"1dc000ef",
171
    00000157 => x"f8840513",
172
    00000158 => x"21d000ef",
173
    00000159 => x"ff002503",
174
    00000160 => x"1cc000ef",
175
    00000161 => x"ffff1537",
176
    00000162 => x"f9450513",
177
    00000163 => x"209000ef",
178
    00000164 => x"ffc02503",
179
    00000165 => x"1b8000ef",
180
    00000166 => x"f8840513",
181
    00000167 => x"1f9000ef",
182
    00000168 => x"ff402503",
183
    00000169 => x"1a8000ef",
184
    00000170 => x"0d5000ef",
185
    00000171 => x"06050663",
186
    00000172 => x"ffff1537",
187
    00000173 => x"f9c50513",
188
    00000174 => x"1dd000ef",
189
    00000175 => x"231000ef",
190
    00000176 => x"fe002403",
191
    00000177 => x"00341413",
192
    00000178 => x"00a40933",
193
    00000179 => x"00893433",
194
    00000180 => x"00b40433",
195
    00000181 => x"0d1000ef",
196
    00000182 => x"02051663",
197
    00000183 => x"211000ef",
198
    00000184 => x"fe85eae3",
199
    00000185 => x"00b41463",
200
    00000186 => x"ff2566e3",
201
    00000187 => x"00100513",
202
    00000188 => x"4d4000ef",
203
    00000189 => x"ffff1537",
204
    00000190 => x"fc450513",
205
    00000191 => x"199000ef",
206
    00000192 => x"0cc000ef",
207
    00000193 => x"185000ef",
208
    00000194 => x"fc050ae3",
209
    00000195 => x"ffff1537",
210
    00000196 => x"fc850513",
211
    00000197 => x"181000ef",
212
    00000198 => x"0a8000ef",
213
    00000199 => x"ffff19b7",
214
    00000200 => x"ffff1a37",
215
    00000201 => x"07200a93",
216
    00000202 => x"06800b13",
217
    00000203 => x"07500b93",
218
    00000204 => x"07300c13",
219
    00000205 => x"ffff1937",
220
    00000206 => x"ffff1cb7",
221
    00000207 => x"fd498513",
222
    00000208 => x"155000ef",
223
    00000209 => x"135000ef",
224
    00000210 => x"00050413",
225
    00000211 => x"0f9000ef",
226
    00000212 => x"fc4a0513",
227
    00000213 => x"141000ef",
228
    00000214 => x"01541863",
229
    00000215 => x"ffff02b7",
230
    00000216 => x"00028067",
231
    00000217 => x"fd9ff06f",
232
    00000218 => x"01641663",
233
    00000219 => x"054000ef",
234
    00000220 => x"fcdff06f",
235
    00000221 => x"00000513",
236
    00000222 => x"01740e63",
237
    00000223 => x"01841663",
238
    00000224 => x"680000ef",
239
    00000225 => x"fb9ff06f",
240
    00000226 => x"06c00793",
241
    00000227 => x"00f41863",
242
    00000228 => x"00100513",
243
    00000229 => x"430000ef",
244
    00000230 => x"fa5ff06f",
245
    00000231 => x"06500793",
246
    00000232 => x"00f41c63",
247
    00000233 => x"0004a783",
248
    00000234 => x"f4079ce3",
249
    00000235 => x"ed0c8513",
250
    00000236 => x"0e5000ef",
251
    00000237 => x"f89ff06f",
252
    00000238 => x"fdc90513",
253
    00000239 => x"ff5ff06f",
254
    00000240 => x"ffff1537",
255
    00000241 => x"e1050513",
256
    00000242 => x"0cd0006f",
257
    00000243 => x"ff010113",
258
    00000244 => x"00112623",
259
    00000245 => x"30047073",
260 61 zero_gravi
    00000246 => x"00000013",
261 65 zero_gravi
    00000247 => x"00000013",
262
    00000248 => x"ffff1537",
263
    00000249 => x"e7450513",
264
    00000250 => x"0ad000ef",
265
    00000251 => x"071000ef",
266
    00000252 => x"fe051ee3",
267
    00000253 => x"ff002783",
268
    00000254 => x"00078067",
269
    00000255 => x"0000006f",
270
    00000256 => x"ff010113",
271
    00000257 => x"00812423",
272
    00000258 => x"00050413",
273
    00000259 => x"ffff1537",
274
    00000260 => x"e8450513",
275
    00000261 => x"00112623",
276
    00000262 => x"07d000ef",
277
    00000263 => x"03040513",
278
    00000264 => x"0ff57513",
279
    00000265 => x"021000ef",
280
    00000266 => x"30047073",
281 61 zero_gravi
    00000267 => x"00000013",
282 65 zero_gravi
    00000268 => x"00000013",
283
    00000269 => x"18d000ef",
284
    00000270 => x"00050863",
285
    00000271 => x"00100513",
286
    00000272 => x"00000593",
287
    00000273 => x"1bd000ef",
288
    00000274 => x"0000006f",
289
    00000275 => x"fe010113",
290
    00000276 => x"01212823",
291
    00000277 => x"00050913",
292
    00000278 => x"ffff1537",
293
    00000279 => x"00912a23",
294
    00000280 => x"e9050513",
295
    00000281 => x"ffff14b7",
296
    00000282 => x"00812c23",
297
    00000283 => x"01312623",
298
    00000284 => x"00112e23",
299
    00000285 => x"01c00413",
300
    00000286 => x"01d000ef",
301
    00000287 => x"fe848493",
302
    00000288 => x"ffc00993",
303
    00000289 => x"008957b3",
304
    00000290 => x"00f7f793",
305
    00000291 => x"00f487b3",
306
    00000292 => x"0007c503",
307
    00000293 => x"ffc40413",
308
    00000294 => x"7ac000ef",
309
    00000295 => x"ff3414e3",
310
    00000296 => x"01c12083",
311
    00000297 => x"01812403",
312
    00000298 => x"01412483",
313
    00000299 => x"01012903",
314
    00000300 => x"00c12983",
315
    00000301 => x"02010113",
316
    00000302 => x"00008067",
317
    00000303 => x"fb010113",
318
    00000304 => x"04112623",
319
    00000305 => x"04512423",
320
    00000306 => x"04612223",
321
    00000307 => x"04712023",
322
    00000308 => x"02812e23",
323
    00000309 => x"02912c23",
324
    00000310 => x"02a12a23",
325
    00000311 => x"02b12823",
326
    00000312 => x"02c12623",
327
    00000313 => x"02d12423",
328
    00000314 => x"02e12223",
329
    00000315 => x"02f12023",
330
    00000316 => x"01012e23",
331
    00000317 => x"01112c23",
332
    00000318 => x"01c12a23",
333
    00000319 => x"01d12823",
334
    00000320 => x"01e12623",
335
    00000321 => x"01f12423",
336
    00000322 => x"342024f3",
337
    00000323 => x"800007b7",
338
    00000324 => x"00778793",
339
    00000325 => x"08f49463",
340
    00000326 => x"0a9000ef",
341
    00000327 => x"00050663",
342
    00000328 => x"00000513",
343
    00000329 => x"0ad000ef",
344
    00000330 => x"654000ef",
345
    00000331 => x"02050063",
346
    00000332 => x"7bc000ef",
347
    00000333 => x"fe002783",
348
    00000334 => x"0027d793",
349
    00000335 => x"00a78533",
350
    00000336 => x"00f537b3",
351
    00000337 => x"00b785b3",
352
    00000338 => x"644000ef",
353
    00000339 => x"03c12403",
354
    00000340 => x"04c12083",
355
    00000341 => x"04812283",
356
    00000342 => x"04412303",
357
    00000343 => x"04012383",
358
    00000344 => x"03812483",
359
    00000345 => x"03412503",
360
    00000346 => x"03012583",
361
    00000347 => x"02c12603",
362
    00000348 => x"02812683",
363
    00000349 => x"02412703",
364
    00000350 => x"02012783",
365
    00000351 => x"01c12803",
366
    00000352 => x"01812883",
367
    00000353 => x"01412e03",
368
    00000354 => x"01012e83",
369
    00000355 => x"00c12f03",
370
    00000356 => x"00812f83",
371
    00000357 => x"05010113",
372
    00000358 => x"30200073",
373
    00000359 => x"00700793",
374
    00000360 => x"00f49a63",
375
    00000361 => x"8041a783",
376
    00000362 => x"00078663",
377
    00000363 => x"00100513",
378
    00000364 => x"e51ff0ef",
379
    00000365 => x"34102473",
380
    00000366 => x"5ec000ef",
381
    00000367 => x"04050263",
382
    00000368 => x"ffff1537",
383
    00000369 => x"e9450513",
384
    00000370 => x"6cc000ef",
385
    00000371 => x"00048513",
386
    00000372 => x"e7dff0ef",
387
    00000373 => x"02000513",
388
    00000374 => x"66c000ef",
389
    00000375 => x"00040513",
390
    00000376 => x"e6dff0ef",
391
    00000377 => x"02000513",
392
    00000378 => x"65c000ef",
393
    00000379 => x"34302573",
394
    00000380 => x"e5dff0ef",
395
    00000381 => x"ffff1537",
396
    00000382 => x"e9c50513",
397
    00000383 => x"698000ef",
398
    00000384 => x"00440413",
399
    00000385 => x"34141073",
400
    00000386 => x"f45ff06f",
401
    00000387 => x"ff010113",
402
    00000388 => x"00000513",
403
    00000389 => x"00112623",
404
    00000390 => x"00812423",
405
    00000391 => x"74c000ef",
406
    00000392 => x"09e00513",
407
    00000393 => x"788000ef",
408
    00000394 => x"00000513",
409
    00000395 => x"780000ef",
410
    00000396 => x"00050413",
411
    00000397 => x"00000513",
412
    00000398 => x"750000ef",
413
    00000399 => x"00c12083",
414
    00000400 => x"0ff47513",
415
    00000401 => x"00812403",
416
    00000402 => x"01010113",
417
    00000403 => x"00008067",
418
    00000404 => x"ff010113",
419
    00000405 => x"00112623",
420
    00000406 => x"00812423",
421
    00000407 => x"00000513",
422
    00000408 => x"708000ef",
423
    00000409 => x"00500513",
424
    00000410 => x"744000ef",
425
    00000411 => x"00000513",
426
    00000412 => x"73c000ef",
427
    00000413 => x"00050413",
428
    00000414 => x"00147413",
429
    00000415 => x"00000513",
430
    00000416 => x"708000ef",
431
    00000417 => x"fc041ce3",
432
    00000418 => x"00c12083",
433
    00000419 => x"00812403",
434
    00000420 => x"01010113",
435
    00000421 => x"00008067",
436
    00000422 => x"ff010113",
437
    00000423 => x"00000513",
438
    00000424 => x"00112623",
439
    00000425 => x"6c4000ef",
440
    00000426 => x"00600513",
441
    00000427 => x"700000ef",
442
    00000428 => x"00c12083",
443
    00000429 => x"00000513",
444
    00000430 => x"01010113",
445
    00000431 => x"6cc0006f",
446
    00000432 => x"ff010113",
447
    00000433 => x"00812423",
448
    00000434 => x"00050413",
449
    00000435 => x"01055513",
450
    00000436 => x"0ff57513",
451
    00000437 => x"00112623",
452
    00000438 => x"6d4000ef",
453
    00000439 => x"00845513",
454
    00000440 => x"0ff57513",
455
    00000441 => x"6c8000ef",
456
    00000442 => x"0ff47513",
457
    00000443 => x"00812403",
458
    00000444 => x"00c12083",
459
    00000445 => x"01010113",
460
    00000446 => x"6b40006f",
461
    00000447 => x"ff010113",
462
    00000448 => x"00812423",
463
    00000449 => x"00050413",
464
    00000450 => x"00000513",
465
    00000451 => x"00112623",
466
    00000452 => x"658000ef",
467
    00000453 => x"00300513",
468
    00000454 => x"694000ef",
469
    00000455 => x"00040513",
470
    00000456 => x"fa1ff0ef",
471
    00000457 => x"00000513",
472
    00000458 => x"684000ef",
473
    00000459 => x"00050413",
474
    00000460 => x"00000513",
475
    00000461 => x"654000ef",
476
    00000462 => x"00c12083",
477
    00000463 => x"0ff47513",
478
    00000464 => x"00812403",
479
    00000465 => x"01010113",
480
    00000466 => x"00008067",
481
    00000467 => x"fd010113",
482
    00000468 => x"02812423",
483
    00000469 => x"02912223",
484
    00000470 => x"03212023",
485
    00000471 => x"01312e23",
486
    00000472 => x"01412c23",
487
    00000473 => x"02112623",
488
    00000474 => x"00050913",
489
    00000475 => x"00058993",
490
    00000476 => x"00c10493",
491
    00000477 => x"00000413",
492
    00000478 => x"00400a13",
493
    00000479 => x"02091e63",
494
    00000480 => x"4f8000ef",
495
    00000481 => x"00a48023",
496
    00000482 => x"00140413",
497
    00000483 => x"00148493",
498
    00000484 => x"ff4416e3",
499
    00000485 => x"02c12083",
500
    00000486 => x"02812403",
501
    00000487 => x"00c12503",
502
    00000488 => x"02412483",
503
    00000489 => x"02012903",
504
    00000490 => x"01c12983",
505
    00000491 => x"01812a03",
506
    00000492 => x"03010113",
507
    00000493 => x"00008067",
508
    00000494 => x"00898533",
509
    00000495 => x"f41ff0ef",
510
    00000496 => x"fc5ff06f",
511
    00000497 => x"fd010113",
512
    00000498 => x"01412c23",
513
    00000499 => x"02812423",
514
    00000500 => x"80418793",
515
    00000501 => x"02112623",
516
    00000502 => x"02912223",
517
    00000503 => x"03212023",
518
    00000504 => x"01312e23",
519
    00000505 => x"01512a23",
520
    00000506 => x"01612823",
521
    00000507 => x"01712623",
522
    00000508 => x"01812423",
523
    00000509 => x"00100713",
524
    00000510 => x"00e7a023",
525
    00000511 => x"00050413",
526
    00000512 => x"80418a13",
527
    00000513 => x"02051863",
528
    00000514 => x"ffff1537",
529
    00000515 => x"ea050513",
530
    00000516 => x"484000ef",
531
    00000517 => x"080005b7",
532
    00000518 => x"00040513",
533
    00000519 => x"f31ff0ef",
534
    00000520 => x"4788d7b7",
535
    00000521 => x"afe78793",
536
    00000522 => x"02f50a63",
537
    00000523 => x"00000513",
538
    00000524 => x"01c0006f",
539
    00000525 => x"ffff1537",
540
    00000526 => x"ec050513",
541
    00000527 => x"458000ef",
542
    00000528 => x"4d8000ef",
543
    00000529 => x"00051663",
544
    00000530 => x"00300513",
545
    00000531 => x"bb5ff0ef",
546
    00000532 => x"dbdff0ef",
547
    00000533 => x"fc0510e3",
548
    00000534 => x"ff1ff06f",
549
    00000535 => x"080009b7",
550
    00000536 => x"00498593",
551
    00000537 => x"00040513",
552
    00000538 => x"ee5ff0ef",
553
    00000539 => x"00050a93",
554
    00000540 => x"00898593",
555
    00000541 => x"00040513",
556
    00000542 => x"ed5ff0ef",
557
    00000543 => x"ff002c03",
558
    00000544 => x"00050b13",
559
    00000545 => x"ffcafb93",
560
    00000546 => x"00000913",
561
    00000547 => x"00000493",
562
    00000548 => x"00c98993",
563
    00000549 => x"013905b3",
564
    00000550 => x"052b9c63",
565
    00000551 => x"016484b3",
566
    00000552 => x"00200513",
567
    00000553 => x"fa0494e3",
568
    00000554 => x"ffff1537",
569
    00000555 => x"ecc50513",
570
    00000556 => x"3e4000ef",
571
    00000557 => x"02c12083",
572
    00000558 => x"02812403",
573
    00000559 => x"800007b7",
574
    00000560 => x"0157a023",
575
    00000561 => x"000a2023",
576
    00000562 => x"02412483",
577
    00000563 => x"02012903",
578
    00000564 => x"01c12983",
579
    00000565 => x"01812a03",
580
    00000566 => x"01412a83",
581
    00000567 => x"01012b03",
582
    00000568 => x"00c12b83",
583
    00000569 => x"00812c03",
584
    00000570 => x"03010113",
585
    00000571 => x"00008067",
586
    00000572 => x"00040513",
587
    00000573 => x"e59ff0ef",
588
    00000574 => x"012c07b3",
589
    00000575 => x"00a484b3",
590
    00000576 => x"00a7a023",
591
    00000577 => x"00490913",
592
    00000578 => x"f8dff06f",
593
    00000579 => x"ff010113",
594
    00000580 => x"00112623",
595
    00000581 => x"00812423",
596
    00000582 => x"00912223",
597
    00000583 => x"00058413",
598
    00000584 => x"00050493",
599
    00000585 => x"d75ff0ef",
600
    00000586 => x"00000513",
601
    00000587 => x"43c000ef",
602
    00000588 => x"00200513",
603
    00000589 => x"478000ef",
604
    00000590 => x"00048513",
605
    00000591 => x"d85ff0ef",
606
    00000592 => x"00040513",
607
    00000593 => x"468000ef",
608
    00000594 => x"00000513",
609
    00000595 => x"43c000ef",
610
    00000596 => x"00812403",
611
    00000597 => x"00c12083",
612
    00000598 => x"00412483",
613
    00000599 => x"01010113",
614
    00000600 => x"cf1ff06f",
615
    00000601 => x"fe010113",
616
    00000602 => x"00812c23",
617
    00000603 => x"00912a23",
618
    00000604 => x"01212823",
619
    00000605 => x"00112e23",
620
    00000606 => x"00050493",
621
    00000607 => x"00b12623",
622
    00000608 => x"00000413",
623
    00000609 => x"00400913",
624
    00000610 => x"00c10793",
625
    00000611 => x"008787b3",
626
    00000612 => x"0007c583",
627
    00000613 => x"00848533",
628
    00000614 => x"00140413",
629
    00000615 => x"f71ff0ef",
630
    00000616 => x"ff2414e3",
631
    00000617 => x"01c12083",
632
    00000618 => x"01812403",
633
    00000619 => x"01412483",
634
    00000620 => x"01012903",
635
    00000621 => x"02010113",
636
    00000622 => x"00008067",
637
    00000623 => x"ff010113",
638
    00000624 => x"00112623",
639
    00000625 => x"00812423",
640
    00000626 => x"00050413",
641
    00000627 => x"ccdff0ef",
642
    00000628 => x"00000513",
643
    00000629 => x"394000ef",
644
    00000630 => x"0d800513",
645
    00000631 => x"3d0000ef",
646
    00000632 => x"00040513",
647
    00000633 => x"cddff0ef",
648
    00000634 => x"00000513",
649
    00000635 => x"39c000ef",
650
    00000636 => x"00812403",
651
    00000637 => x"00c12083",
652
    00000638 => x"01010113",
653
    00000639 => x"c55ff06f",
654
    00000640 => x"fe010113",
655
    00000641 => x"800007b7",
656
    00000642 => x"00812c23",
657
    00000643 => x"0007a403",
658
    00000644 => x"00112e23",
659
    00000645 => x"00912a23",
660
    00000646 => x"01212823",
661
    00000647 => x"01312623",
662
    00000648 => x"01412423",
663
    00000649 => x"01512223",
664
    00000650 => x"02041863",
665
    00000651 => x"ffff1537",
666
    00000652 => x"ed050513",
667
    00000653 => x"01812403",
668
    00000654 => x"01c12083",
669
    00000655 => x"01412483",
670
    00000656 => x"01012903",
671
    00000657 => x"00c12983",
672
    00000658 => x"00812a03",
673
    00000659 => x"00412a83",
674
    00000660 => x"02010113",
675
    00000661 => x"2400006f",
676
    00000662 => x"ffff1537",
677
    00000663 => x"eec50513",
678
    00000664 => x"234000ef",
679
    00000665 => x"00040513",
680
    00000666 => x"9e5ff0ef",
681
    00000667 => x"ffff1537",
682
    00000668 => x"ef450513",
683
    00000669 => x"220000ef",
684
    00000670 => x"08000537",
685
    00000671 => x"9d1ff0ef",
686
    00000672 => x"ffff1537",
687
    00000673 => x"f0c50513",
688
    00000674 => x"20c000ef",
689
    00000675 => x"1ec000ef",
690
    00000676 => x"00050493",
691
    00000677 => x"1b0000ef",
692
    00000678 => x"07900793",
693
    00000679 => x"0af49e63",
694
    00000680 => x"b6dff0ef",
695
    00000681 => x"00051663",
696
    00000682 => x"00300513",
697
    00000683 => x"955ff0ef",
698
    00000684 => x"ffff1537",
699
    00000685 => x"f1850513",
700
    00000686 => x"01045493",
701
    00000687 => x"1d8000ef",
702
    00000688 => x"00148493",
703
    00000689 => x"08000937",
704
    00000690 => x"fff00993",
705
    00000691 => x"00010a37",
706
    00000692 => x"fff48493",
707
    00000693 => x"07349063",
708
    00000694 => x"4788d5b7",
709
    00000695 => x"afe58593",
710
    00000696 => x"08000537",
711
    00000697 => x"e81ff0ef",
712
    00000698 => x"08000537",
713
    00000699 => x"00040593",
714
    00000700 => x"00450513",
715
    00000701 => x"e71ff0ef",
716
    00000702 => x"ff002a03",
717
    00000703 => x"080009b7",
718
    00000704 => x"ffc47413",
719
    00000705 => x"00000493",
720
    00000706 => x"00000913",
721
    00000707 => x"00c98a93",
722
    00000708 => x"01548533",
723
    00000709 => x"009a07b3",
724
    00000710 => x"02849663",
725
    00000711 => x"00898513",
726
    00000712 => x"412005b3",
727
    00000713 => x"e41ff0ef",
728
    00000714 => x"ffff1537",
729
    00000715 => x"ecc50513",
730
    00000716 => x"f05ff06f",
731
    00000717 => x"00090513",
732
    00000718 => x"e85ff0ef",
733
    00000719 => x"01490933",
734
    00000720 => x"f91ff06f",
735
    00000721 => x"0007a583",
736
    00000722 => x"00448493",
737
    00000723 => x"00b90933",
738
    00000724 => x"e15ff0ef",
739
    00000725 => x"fbdff06f",
740
    00000726 => x"01c12083",
741
    00000727 => x"01812403",
742
    00000728 => x"01412483",
743
    00000729 => x"01012903",
744
    00000730 => x"00c12983",
745
    00000731 => x"00812a03",
746
    00000732 => x"00412a83",
747
    00000733 => x"02010113",
748
    00000734 => x"00008067",
749
    00000735 => x"fe802503",
750
    00000736 => x"01155513",
751
    00000737 => x"00157513",
752
    00000738 => x"00008067",
753
    00000739 => x"f9000793",
754
    00000740 => x"fff00713",
755
    00000741 => x"00e7a423",
756
    00000742 => x"00b7a623",
757
    00000743 => x"00a7a423",
758
    00000744 => x"00008067",
759
    00000745 => x"fe802503",
760
    00000746 => x"01255513",
761
    00000747 => x"00157513",
762 61 zero_gravi
    00000748 => x"00008067",
763 65 zero_gravi
    00000749 => x"fa002023",
764
    00000750 => x"fe002703",
765
    00000751 => x"00151513",
766
    00000752 => x"00000793",
767
    00000753 => x"04a77463",
768
    00000754 => x"000016b7",
769
    00000755 => x"00000713",
770
    00000756 => x"ffe68693",
771
    00000757 => x"04f6e663",
772
    00000758 => x"00367613",
773
    00000759 => x"0035f593",
774
    00000760 => x"fff78793",
775
    00000761 => x"01461613",
776
    00000762 => x"00c7e7b3",
777
    00000763 => x"01659593",
778
    00000764 => x"01871713",
779
    00000765 => x"00b7e7b3",
780
    00000766 => x"00e7e7b3",
781
    00000767 => x"10000737",
782
    00000768 => x"00e7e7b3",
783
    00000769 => x"faf02023",
784
    00000770 => x"00008067",
785
    00000771 => x"00178793",
786
    00000772 => x"01079793",
787
    00000773 => x"40a70733",
788
    00000774 => x"0107d793",
789
    00000775 => x"fa9ff06f",
790
    00000776 => x"ffe70513",
791
    00000777 => x"0fd57513",
792
    00000778 => x"00051a63",
793
    00000779 => x"0037d793",
794
    00000780 => x"00170713",
795
    00000781 => x"0ff77713",
796
    00000782 => x"f9dff06f",
797
    00000783 => x"0017d793",
798
    00000784 => x"ff1ff06f",
799
    00000785 => x"00040737",
800
    00000786 => x"fa002783",
801
    00000787 => x"00e7f7b3",
802
    00000788 => x"fe079ce3",
803
    00000789 => x"faa02223",
804
    00000790 => x"00008067",
805
    00000791 => x"fa002783",
806
    00000792 => x"00100513",
807
    00000793 => x"0007c863",
808
    00000794 => x"0107d513",
809
    00000795 => x"00154513",
810
    00000796 => x"00157513",
811
    00000797 => x"00008067",
812
    00000798 => x"fa402503",
813
    00000799 => x"fe055ee3",
814
    00000800 => x"0ff57513",
815 64 zero_gravi
    00000801 => x"00008067",
816
    00000802 => x"fa402503",
817 65 zero_gravi
    00000803 => x"01f55513",
818
    00000804 => x"00008067",
819
    00000805 => x"ff010113",
820
    00000806 => x"00812423",
821
    00000807 => x"01212023",
822
    00000808 => x"00112623",
823
    00000809 => x"00912223",
824
    00000810 => x"00050413",
825
    00000811 => x"00a00913",
826
    00000812 => x"00044483",
827
    00000813 => x"00140413",
828
    00000814 => x"00049e63",
829
    00000815 => x"00c12083",
830
    00000816 => x"00812403",
831
    00000817 => x"00412483",
832
    00000818 => x"00012903",
833
    00000819 => x"01010113",
834
    00000820 => x"00008067",
835
    00000821 => x"01249663",
836
    00000822 => x"00d00513",
837
    00000823 => x"f69ff0ef",
838
    00000824 => x"00048513",
839
    00000825 => x"f61ff0ef",
840
    00000826 => x"fc9ff06f",
841
    00000827 => x"ff010113",
842
    00000828 => x"c81026f3",
843
    00000829 => x"c0102773",
844
    00000830 => x"c81027f3",
845
    00000831 => x"fed79ae3",
846
    00000832 => x"00e12023",
847
    00000833 => x"00f12223",
848
    00000834 => x"00012503",
849
    00000835 => x"00412583",
850
    00000836 => x"01010113",
851
    00000837 => x"00008067",
852
    00000838 => x"fe802503",
853
    00000839 => x"01355513",
854
    00000840 => x"00157513",
855
    00000841 => x"00008067",
856
    00000842 => x"00757513",
857
    00000843 => x"0036f793",
858
    00000844 => x"00167613",
859
    00000845 => x"00a51513",
860
    00000846 => x"00d79793",
861
    00000847 => x"0015f593",
862
    00000848 => x"00f567b3",
863
    00000849 => x"00f61613",
864
    00000850 => x"00c7e7b3",
865
    00000851 => x"00959593",
866
    00000852 => x"fa800713",
867
    00000853 => x"00b7e7b3",
868
    00000854 => x"00072023",
869
    00000855 => x"1007e793",
870
    00000856 => x"00f72023",
871
    00000857 => x"00008067",
872
    00000858 => x"fa800713",
873
    00000859 => x"00072683",
874
    00000860 => x"00757793",
875
    00000861 => x"00100513",
876
    00000862 => x"00f51533",
877
    00000863 => x"00d56533",
878
    00000864 => x"00a72023",
879
    00000865 => x"00008067",
880
    00000866 => x"fa800713",
881
    00000867 => x"00072683",
882
    00000868 => x"00757513",
883
    00000869 => x"00100793",
884
    00000870 => x"00a797b3",
885
    00000871 => x"fff7c793",
886
    00000872 => x"00d7f7b3",
887
    00000873 => x"00f72023",
888
    00000874 => x"00008067",
889
    00000875 => x"faa02623",
890
    00000876 => x"fa802783",
891
    00000877 => x"fe07cee3",
892
    00000878 => x"fac02503",
893
    00000879 => x"00008067",
894
    00000880 => x"fe802503",
895
    00000881 => x"01055513",
896
    00000882 => x"00157513",
897
    00000883 => x"00008067",
898
    00000884 => x"00100793",
899
    00000885 => x"01f00713",
900
    00000886 => x"00a797b3",
901
    00000887 => x"00a74a63",
902
    00000888 => x"fc802703",
903
    00000889 => x"00f747b3",
904
    00000890 => x"fcf02423",
905
    00000891 => x"00008067",
906
    00000892 => x"fcc02703",
907
    00000893 => x"00f747b3",
908
    00000894 => x"fcf02623",
909
    00000895 => x"00008067",
910
    00000896 => x"fc000793",
911
    00000897 => x"00a7a423",
912
    00000898 => x"00b7a623",
913
    00000899 => x"00008067",
914
    00000900 => x"69617641",
915
    00000901 => x"6c62616c",
916
    00000902 => x"4d432065",
917
    00000903 => x"0a3a7344",
918
    00000904 => x"203a6820",
919
    00000905 => x"706c6548",
920
    00000906 => x"3a72200a",
921
    00000907 => x"73655220",
922
    00000908 => x"74726174",
923
    00000909 => x"3a75200a",
924
    00000910 => x"6c705520",
925
    00000911 => x"0a64616f",
926
    00000912 => x"203a7320",
927
    00000913 => x"726f7453",
928
    00000914 => x"6f742065",
929
    00000915 => x"616c6620",
930
    00000916 => x"200a6873",
931
    00000917 => x"4c203a6c",
932
    00000918 => x"2064616f",
933
    00000919 => x"6d6f7266",
934
    00000920 => x"616c6620",
935
    00000921 => x"200a6873",
936
    00000922 => x"45203a65",
937
    00000923 => x"75636578",
938
    00000924 => x"00006574",
939
    00000925 => x"746f6f42",
940
    00000926 => x"2e676e69",
941
    00000927 => x"0a0a2e2e",
942
    00000928 => x"00000000",
943
    00000929 => x"52450a07",
944
    00000930 => x"5f524f52",
945
    00000931 => x"00000000",
946
    00000932 => x"00007830",
947
    00000933 => x"58455b0a",
948
    00000934 => x"00002043",
949
    00000935 => x"00000a5d",
950
    00000936 => x"69617741",
951
    00000937 => x"676e6974",
952
    00000938 => x"6f656e20",
953
    00000939 => x"32337672",
954
    00000940 => x"6578655f",
955
    00000941 => x"6e69622e",
956
    00000942 => x"202e2e2e",
957
    00000943 => x"00000000",
958
    00000944 => x"64616f4c",
959
    00000945 => x"2e676e69",
960
    00000946 => x"00202e2e",
961
    00000947 => x"00004b4f",
962
    00000948 => x"65206f4e",
963
    00000949 => x"75636578",
964
    00000950 => x"6c626174",
965
    00000951 => x"76612065",
966
    00000952 => x"616c6961",
967
    00000953 => x"2e656c62",
968
    00000954 => x"00000000",
969
    00000955 => x"74697257",
970
    00000956 => x"00002065",
971
    00000957 => x"74796220",
972
    00000958 => x"74207365",
973
    00000959 => x"5053206f",
974
    00000960 => x"6c662049",
975
    00000961 => x"20687361",
976
    00000962 => x"00002040",
977
    00000963 => x"7928203f",
978
    00000964 => x"20296e2f",
979
    00000965 => x"00000000",
980
    00000966 => x"616c460a",
981
    00000967 => x"6e696873",
982
    00000968 => x"2e2e2e67",
983
    00000969 => x"00000020",
984
    00000970 => x"3c0a0a0a",
985
    00000971 => x"454e203c",
986
    00000972 => x"3356524f",
987
    00000973 => x"6f422032",
988
    00000974 => x"6f6c746f",
989
    00000975 => x"72656461",
990
    00000976 => x"0a3e3e20",
991
    00000977 => x"444c420a",
992
    00000978 => x"4f203a56",
993
    00000979 => x"31207463",
994
    00000980 => x"30322037",
995
    00000981 => x"480a3132",
996
    00000982 => x"203a5657",
997
    00000983 => x"00000020",
998
    00000984 => x"4b4c430a",
999
    00000985 => x"0020203a",
1000
    00000986 => x"53494d0a",
1001
    00000987 => x"00203a41",
1002
    00000988 => x"5550430a",
1003
    00000989 => x"0020203a",
1004
    00000990 => x"434f530a",
1005
    00000991 => x"0020203a",
1006
    00000992 => x"454d490a",
1007
    00000993 => x"00203a4d",
1008
    00000994 => x"74796220",
1009
    00000995 => x"40207365",
1010
    00000996 => x"00000000",
1011
    00000997 => x"454d440a",
1012
    00000998 => x"00203a4d",
1013
    00000999 => x"75410a0a",
1014
    00001000 => x"6f626f74",
1015
    00001001 => x"6920746f",
1016
    00001002 => x"7338206e",
1017
    00001003 => x"7250202e",
1018
    00001004 => x"20737365",
1019
    00001005 => x"2079656b",
1020
    00001006 => x"61206f74",
1021
    00001007 => x"74726f62",
1022
    00001008 => x"00000a2e",
1023
    00001009 => x"0000000a",
1024
    00001010 => x"726f6241",
1025
    00001011 => x"2e646574",
1026
    00001012 => x"00000a0a",
1027
    00001013 => x"444d430a",
1028
    00001014 => x"00203e3a",
1029
    00001015 => x"61766e49",
1030
    00001016 => x"2064696c",
1031
    00001017 => x"00444d43",
1032
    00001018 => x"33323130",
1033
    00001019 => x"37363534",
1034
    00001020 => x"62613938",
1035
    00001021 => x"66656463"
1036 2 zero_gravi
  );
1037
 
1038
end neorv32_bootloader_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.