OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cfs.vhd] - Blame information for rev 62

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Custom Functions Subsystem (CFS) >>                                              #
3
-- # ********************************************************************************************* #
4
-- # For tightly-coupled custom co-processors. Provides 32x32-bit memory-mapped registers.         #
5
-- # This is just an "example/illustrating template". Modify this file to implement your custom    #
6
-- # design logic.                                                                                 #
7
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
11
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_cfs is
47
  generic (
48 52 zero_gravi
    CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
49 62 zero_gravi
    CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
50
    CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
51 47 zero_gravi
  );
52
  port (
53
    -- host access --
54
    clk_i       : in  std_ulogic; -- global clock line
55
    rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
56
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
57
    rden_i      : in  std_ulogic; -- read enable
58
    wren_i      : in  std_ulogic; -- word write enable
59
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
60
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
61
    ack_o       : out std_ulogic; -- transfer acknowledge
62
    -- clock generator --
63
    clkgen_en_o : out std_ulogic; -- enable clock generator
64
    clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
65
    -- CPU state --
66
    sleep_i     : in  std_ulogic; -- set if cpu is in sleep mode
67
    -- interrupt --
68
    irq_o       : out std_ulogic; -- interrupt request
69
    -- custom io (conduits) --
70 52 zero_gravi
    cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0);  -- custom inputs
71
    cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0)  -- custom outputs
72 47 zero_gravi
  );
73
end neorv32_cfs;
74
 
75
architecture neorv32_cfs_rtl of neorv32_cfs is
76
 
77
  -- IO space: module base address (DO NOT MODIFY!) --
78
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
79
  constant lo_abb_c : natural := index_size_f(cfs_size_c); -- low address boundary bit
80
 
81
  -- access control --
82
  signal acc_en : std_ulogic; -- module access enable
83
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
84
  signal wren   : std_ulogic; -- word write enable
85
  signal rden   : std_ulogic; -- read enable
86
 
87
  -- default CFS interface registers --
88
  type cfs_regs_t is array (0 to 3) of std_ulogic_vector(31 downto 0); -- just implement 4 registers for this example
89
  signal cfs_reg_wr : cfs_regs_t; -- interface registers for WRITE accesses
90
  signal cfs_reg_rd : cfs_regs_t; -- interface registers for READ accesses
91
 
92
begin
93
 
94
  -- Access Control -------------------------------------------------------------------------
95
  -- -------------------------------------------------------------------------------------------
96
  -- These assignments are required to check if the CFS is accessed at all.
97
  -- DO NOT MODIFY this unless you really know what you are doing.
98
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = cfs_base_c(hi_abb_c downto lo_abb_c)) else '0';
99
  addr   <= cfs_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
100
  wren   <= acc_en and wren_i; -- full 32-bit word write enable
101
  rden   <= acc_en and rden_i; -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU
102
 
103
 
104 52 zero_gravi
  -- CFS Generics ---------------------------------------------------------------------------
105 47 zero_gravi
  -- -------------------------------------------------------------------------------------------
106 52 zero_gravi
  -- In its default version, the CFS provides the configuration generics. single generic:
107
  -- CFS_IN_SIZE configures the size (in bits) of the CFS input conduit cfs_in_i
108
  -- CFS_OUT_SIZE configures the size (in bits) of the CFS output conduit cfs_out_o
109
  -- CFS_CONFIG is a blank 32-bit generic. It is intended as a "generic conduit" to propagate custom configuration flags from the top entity down to this entiy.
110 47 zero_gravi
 
111
 
112
  -- CFS IOs --------------------------------------------------------------------------------
113
  -- -------------------------------------------------------------------------------------------
114
  -- By default, the CFS provides two IO signals (cfs_in_i and cfs_out_o) that are available at the processor top entity.
115
  -- These are intended as "conduits" to propagate custom signals this entity <=> processor top entity.
116
 
117
  cfs_out_o <= (others => '0'); -- not used for this minimal example
118
 
119
 
120
  -- Reset System ---------------------------------------------------------------------------
121
  -- -------------------------------------------------------------------------------------------
122
  -- The CFS can be reset using the global rstn_i signal. This signal should be used as asynchronous reset and is active-low.
123
  -- Note that rstn_i can be asserted by an external reset and also by a watchdog-cause reset.
124
  --
125
  -- Most default peripheral devices of the NEORV32 do NOT use a dedicated reset at all. Instead, these units are reset by writing ZERO
126 60 zero_gravi
  -- to a specific "control register" located right at the beginning of the device's address space (so this register is cleared at first).
127 47 zero_gravi
  -- The crt0 start-up code write ZERO to every single address in the processor's IO space - including the CFS.
128
  -- Make sure that this clearing does not cause any unintended actions in the CFS.
129
 
130
 
131
  -- Clock System ---------------------------------------------------------------------------
132
  -- -------------------------------------------------------------------------------------------
133
  -- The processor top unit implements a clock generator providing 8 "derived clocks"
134
  -- Actually, these signals should not be used as direct clock signals, but as *clock enable* signals.
135
  -- clkgen_i is always synchronous to the main system clock (clk_i).
136
  --
137
  -- The following clock divider rates are available:
138
  -- clkgen_i(clk_div2_c)    -> MAIN_CLK/2
139
  -- clkgen_i(clk_div4_c)    -> MAIN_CLK/4
140
  -- clkgen_i(clk_div8_c)    -> MAIN_CLK/8
141
  -- clkgen_i(clk_div64_c)   -> MAIN_CLK/64
142
  -- clkgen_i(clk_div128_c)  -> MAIN_CLK/128
143
  -- clkgen_i(clk_div1024_c) -> MAIN_CLK/1024
144
  -- clkgen_i(clk_div2048_c) -> MAIN_CLK/2048
145
  -- clkgen_i(clk_div4096_c) -> MAIN_CLK/4096
146
  --
147
  -- For instance, if you want to drive a clock process at MAIN_CLK/8 clock speed you can use the following construct:
148
  --
149
  --   if (rstn_i = '0') then -- async and low-active reset (if required at all)
150
  --   ...
151
  --   elsif rising_edge(clk_i) then -- always use the main clock for all clock processes!
152
  --     if (clkgen_i(clk_div8_c) = '1') then -- the div8 "clock" is actually a clock enable
153
  --       ...
154
  --     end if;
155
  --   end if;
156
  --
157
  -- The clkgen_i input clocks are available when at least one IO/peripheral device (for example the UART) requires the clocks generated by the
158
  -- clock generator. The CFS can enable the clock generator by itself by setting the clkgen_en_o signal high.
159 61 zero_gravi
  -- The CFS cannot ensure to deactivate the clock generator by setting the clkgen_en_o signal low as other peripherals might still keep the generator activated.
160 47 zero_gravi
  -- Make sure to deactivate the CFS's clkgen_en_o if no clocks are required in here to reduce dynamic power consumption.
161
 
162
  clkgen_en_o <= '0'; -- not used for this minimal example
163
 
164
 
165
  -- Further Power Optimization -------------------------------------------------------------
166
  -- -------------------------------------------------------------------------------------------
167
  -- The CFS can decide to go into low-power mode (by disabling all switching activity) when the CPU enters sleep mode.
168
  -- The sleep_i signal is high when the CPU is in sleep mode. Any interrupt including the CFS's irq_o interrupt request signal
169
  -- will wake up the CPU again.
170
 
171
 
172
  -- Interrupt ------------------------------------------------------------------------------
173
  -- -------------------------------------------------------------------------------------------
174
  -- The CFS features a single interrupt signal. This interrupt is connected to the CPU's "fast interrupt" channel 1.
175
  -- Note that this fast interrupt channel is shared with the GPIO pin-change interrupt. Make sure to disable the GPIO's pin-change interrupt
176
  -- via the according control register if you want to use this interrupt exclusively for the CFS.
177
  --
178
  -- The interrupt is single-shot. Setting the irq_o signal high for one cycle will generate an interrupt request.
179
  -- The interrupt is acknowledged by the CPU via the one-shot irq_ack_i signal indicating that the according interrupt handler is starting.
180
 
181
  irq_o <= '0'; -- not used for this minimal example
182
 
183
 
184
  -- Read/Write Access ----------------------------------------------------------------------
185
  -- -------------------------------------------------------------------------------------------
186
  -- Here we are reading/writing from/to the interface registers of the module. Please note that the peripheral/IO
187
  -- modules of the NEORV32 can only be written in full word mode (32-bit). Any other write access (half-word or byte)
188
  -- will trigger a store bus access fault exception.
189
  --
190
  -- The CFS provides up to 32 memory-mapped 32-bit interface register. For instance, these could be used to provide
191
  -- a <control register> for global control of the unit, a <data register> for reading/writing from/to a data FIFO, a <command register>
192 61 zero_gravi
  -- for issuing commands and a <status register> for status information.
193 47 zero_gravi
  --
194 50 zero_gravi
  -- Following the interface protocol, each read or write access has to be acknowledged in the following cycle using the ack_o signal (or even later
195 61 zero_gravi
  -- if the module needs additional time; the maximum latency until an unacknowledged access will trigger a bus exception is defined via the package's
196
  -- global "bus_timeout_c" constant). If no ACK is generated, the bus access will time out and cause a store bus access fault exception.
197 47 zero_gravi
 
198
  -- Host access: Read and write access to the interface registers + bus transfer acknowledge.
199
  -- This example only implements four physical r/w register (the four lowest CF register). The remaining addresses of the CFS are not
200
  -- associated with any writable or readable register - an access to those is simply ignored but still acknowledged.
201
 
202
  host_access: process(clk_i)
203
  begin
204
    if rising_edge(clk_i) then -- synchronous interface for reads and writes
205
      -- transfer/access acknowledge --
206
      ack_o <= rden or wren; -- default: required for the CPU to check the CFS is answering a bus read OR write request; all r/w accesses (to any cfs_reg) will succeed
207
--    ack_o <= rden; -- use this construct if your CFS is read-only
208
--    ack_o <= wren; -- use this construct if your CFS is write-only
209
--    ack_o <= ... -- or define the ACK by yourself (example: some registers are read-only, some others can only be written, ...)
210
 
211
      -- write access --
212 60 zero_gravi
      if (wren = '1') then -- word-wide write-access only!
213
        case addr is -- make sure to use the internal "addr" signal for the read/write interface
214
          when cfs_reg0_addr_c => cfs_reg_wr(0) <= data_i; -- for example: control register
215
          when cfs_reg1_addr_c => cfs_reg_wr(1) <= data_i; -- for example: data in/out fifo
216
          when cfs_reg2_addr_c => cfs_reg_wr(2) <= data_i; -- for example: command fifo
217
          when cfs_reg3_addr_c => cfs_reg_wr(3) <= data_i; -- for example: status register
218
          when others          => NULL;
219
        end case;
220
      end if;
221 47 zero_gravi
 
222
      -- read access --
223
      data_o <= (others => '0'); -- the output has to be zero if there is no actual read access
224
      if (rden = '1') then -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU
225
        case addr is -- make sure to use the internal 'addr' signal for the read/write interface
226
          when cfs_reg0_addr_c => data_o <= cfs_reg_rd(0);
227
          when cfs_reg1_addr_c => data_o <= cfs_reg_rd(1);
228
          when cfs_reg2_addr_c => data_o <= cfs_reg_rd(2);
229
          when cfs_reg3_addr_c => data_o <= cfs_reg_rd(3);
230
          when others          => data_o <= (others => '0'); -- the remaining registers are not implemented and will read as zero
231
        end case;
232
      end if;
233
    end if;
234
  end process host_access;
235
 
236
 
237
  -- CFS Function Core ----------------------------------------------------------------------
238
  -- -------------------------------------------------------------------------------------------
239
  -- This is where the actual functionality can be implemented.
240
  -- In this example we are just implementing four r/w registers that invert any value written to them.
241
 
242
  cfs_core: process(cfs_reg_wr)
243
  begin
244
    cfs_reg_rd(0) <= not cfs_reg_wr(0); -- just invert the written value
245
    cfs_reg_rd(1) <= not cfs_reg_wr(1);
246
    cfs_reg_rd(2) <= not cfs_reg_wr(2);
247
    cfs_reg_rd(3) <= not cfs_reg_wr(3);
248
  end process cfs_core;
249
 
250
 
251
end neorv32_cfs_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.