OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 36 zero_gravi
-- # * neorv32_cpu.vhd                  - CPU top entity                                           #
6
-- #   * neorv32_cpu_alu.vhd            - Arithmetic/logic unit                                    #
7
-- #   * neorv32_cpu_bus.vhd            - Instruction and data bus interface unit                  #
8
-- #   * neorv32_cpu_cp_muldiv.vhd      - MULDIV co-processor                                      #
9
-- #   * neorv32_cpu_ctrl.vhd           - CPU control and CSR system                               #
10
-- #     * neorv32_cpu_decompressor.vhd - Compressed instructions decoder                          #
11
-- #   * neorv32_cpu_regfile.vhd        - Data register file                                       #
12 18 zero_gravi
-- #                                                                                               #
13 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
14 2 zero_gravi
-- # ********************************************************************************************* #
15
-- # BSD 3-Clause License                                                                          #
16
-- #                                                                                               #
17
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
18
-- #                                                                                               #
19
-- # Redistribution and use in source and binary forms, with or without modification, are          #
20
-- # permitted provided that the following conditions are met:                                     #
21
-- #                                                                                               #
22
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
23
-- #    conditions and the following disclaimer.                                                   #
24
-- #                                                                                               #
25
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
26
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
27
-- #    provided with the distribution.                                                            #
28
-- #                                                                                               #
29
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
30
-- #    endorse or promote products derived from this software without specific prior written      #
31
-- #    permission.                                                                                #
32
-- #                                                                                               #
33
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
34
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
35
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
36
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
37
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
38
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
39
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
40
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
41
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
42
-- # ********************************************************************************************* #
43
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
44
-- #################################################################################################
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.numeric_std.all;
49
 
50
library neorv32;
51
use neorv32.neorv32_package.all;
52
 
53
entity neorv32_cpu is
54
  generic (
55
    -- General --
56 14 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
57
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
58 2 zero_gravi
    -- RISC-V CPU Extensions --
59 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
60
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
61
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
62 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
63 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
64
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
65 19 zero_gravi
    -- Extension Options --
66
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
67 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
68 15 zero_gravi
    -- Physical Memory Protection (PMP) --
69
    PMP_USE                      : boolean := false; -- implement PMP?
70 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
71 30 zero_gravi
    PMP_GRANULARITY              : natural := 14     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
72 2 zero_gravi
  );
73
  port (
74
    -- global control --
75 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
76
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
77 12 zero_gravi
    -- instruction bus interface --
78
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
79 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
80 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
81
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
82
    i_bus_we_o     : out std_ulogic; -- write enable
83
    i_bus_re_o     : out std_ulogic; -- read enable
84
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
85 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
86
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
87 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
88 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
89 12 zero_gravi
    -- data bus interface --
90
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
91 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
92 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
93
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
94
    d_bus_we_o     : out std_ulogic; -- write enable
95
    d_bus_re_o     : out std_ulogic; -- read enable
96
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
97 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
98
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
99 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
100 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
101 11 zero_gravi
    -- system time input from MTIME --
102 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
103
    -- interrupts (risc-v compliant) --
104
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
105
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
106
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
107
    -- fast interrupts (custom) --
108
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
109 2 zero_gravi
  );
110
end neorv32_cpu;
111
 
112
architecture neorv32_cpu_rtl of neorv32_cpu is
113
 
114
  -- local signals --
115 12 zero_gravi
  signal ctrl       : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
116
  signal alu_cmp    : std_ulogic_vector(1 downto 0); -- alu comparator result
117
  signal imm        : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
118
  signal instr      : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
119
  signal rs1, rs2   : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
120 36 zero_gravi
  signal alu_opb    : std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand b
121 12 zero_gravi
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
122 36 zero_gravi
  signal alu_add    : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
123 12 zero_gravi
  signal rdata      : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
124
  signal alu_wait   : std_ulogic; -- alu is busy due to iterative unit
125
  signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch
126
  signal bus_d_wait : std_ulogic; -- wait for current bus data access
127
  signal csr_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
128
  signal mar        : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
129
  signal ma_instr   : std_ulogic; -- misaligned instruction address
130
  signal ma_load    : std_ulogic; -- misaligned load data address
131
  signal ma_store   : std_ulogic; -- misaligned store data address
132
  signal be_instr   : std_ulogic; -- bus error on instruction access
133
  signal be_load    : std_ulogic; -- bus error on load data access
134
  signal be_store   : std_ulogic; -- bus error on store data access
135
  signal fetch_pc   : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
136
  signal curr_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
137 27 zero_gravi
  signal next_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- next pc (for next to-be-executed instruction)
138 2 zero_gravi
 
139
  -- co-processor interface --
140 36 zero_gravi
  signal cp0_data,  cp1_data,  cp2_data,  cp3_data  : std_ulogic_vector(data_width_c-1 downto 0);
141
  signal cp0_valid, cp1_valid, cp2_valid, cp3_valid : std_ulogic;
142
  signal cp0_start, cp1_start, cp2_start, cp3_start : std_ulogic;
143 2 zero_gravi
 
144 15 zero_gravi
  -- pmp interface --
145
  signal pmp_addr  : pmp_addr_if_t;
146
  signal pmp_ctrl  : pmp_ctrl_if_t;
147
 
148 2 zero_gravi
begin
149
 
150 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
151
  -- -------------------------------------------------------------------------------------------
152 23 zero_gravi
  -- CSR system --
153 36 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/machine features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
154 23 zero_gravi
  -- U-extension requires Zicsr extension --
155
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
156
  -- PMP requires Zicsr extension --
157
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
158
  -- PMP regions --
159
  assert not ((PMP_NUM_REGIONS > pmp_max_r_c) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions out of valid range." severity error;
160
  -- PMP granulartiy --
161 36 zero_gravi
  assert not (((PMP_GRANULARITY < 1) or (PMP_GRANULARITY > 32)) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! Invalid PMP granulartiy (0 < PMP_GRANULARITY < 33)." severity error;
162 15 zero_gravi
 
163 23 zero_gravi
 
164 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
165
  -- -------------------------------------------------------------------------------------------
166
  neorv32_cpu_control_inst: neorv32_cpu_control
167
  generic map (
168
    -- General --
169 27 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,    -- hardware thread id
170
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR,   -- cpu boot address
171 2 zero_gravi
    -- RISC-V CPU Extensions --
172 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
173
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
174
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
175
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
176
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
177
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
178
    -- Physical memory protection (PMP) --
179
    PMP_USE                      => PMP_USE,         -- implement physical memory protection?
180
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS, -- number of regions (1..4)
181
    PMP_GRANULARITY              => PMP_GRANULARITY  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
182 2 zero_gravi
  )
183
  port map (
184
    -- global control --
185
    clk_i         => clk_i,       -- global clock, rising edge
186
    rstn_i        => rstn_i,      -- global reset, low-active, async
187
    ctrl_o        => ctrl,        -- main control bus
188
    -- status input --
189
    alu_wait_i    => alu_wait,    -- wait for ALU
190 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
191
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
192 2 zero_gravi
    -- data input --
193
    instr_i       => instr,       -- instruction
194
    cmp_i         => alu_cmp,     -- comparator status
195 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
196
    rs1_i         => rs1,         -- rf source 1
197 2 zero_gravi
    -- data output --
198
    imm_o         => imm,         -- immediate
199 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
200
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
201 27 zero_gravi
    next_pc_o     => next_pc,     -- next PC (corresponding to current instruction
202 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
203 14 zero_gravi
    -- interrupts (risc-v compliant) --
204
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
205
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
206 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
207 14 zero_gravi
    -- fast interrupts (custom) --
208
    firq_i        => firq_i,
209 11 zero_gravi
    -- system time input from MTIME --
210
    time_i        => time_i,      -- current system time
211 15 zero_gravi
    -- physical memory protection --
212
    pmp_addr_o    => pmp_addr,    -- addresses
213
    pmp_ctrl_o    => pmp_ctrl,    -- configs
214 2 zero_gravi
    -- bus access exceptions --
215
    mar_i         => mar,         -- memory address register
216
    ma_instr_i    => ma_instr,    -- misaligned instruction address
217
    ma_load_i     => ma_load,     -- misaligned load data address
218
    ma_store_i    => ma_store,    -- misaligned store data address
219
    be_instr_i    => be_instr,    -- bus error on instruction access
220
    be_load_i     => be_load,     -- bus error on load data access
221 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
222 2 zero_gravi
  );
223
 
224
 
225
  -- Register File --------------------------------------------------------------------------
226
  -- -------------------------------------------------------------------------------------------
227
  neorv32_regfile_inst: neorv32_cpu_regfile
228
  generic map (
229
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
230
  )
231
  port map (
232
    -- global control --
233
    clk_i  => clk_i,              -- global clock, rising edge
234
    ctrl_i => ctrl,               -- main control bus
235
    -- data input --
236
    mem_i  => rdata,              -- memory read data
237
    alu_i  => alu_res,            -- ALU result
238
    csr_i  => csr_rdata,          -- CSR read data
239 13 zero_gravi
    pc_i   => next_pc,            -- next pc (for linking)
240 2 zero_gravi
    -- data output --
241
    rs1_o  => rs1,                -- operand 1
242
    rs2_o  => rs2                 -- operand 2
243
  );
244
 
245
 
246
  -- ALU ------------------------------------------------------------------------------------
247
  -- -------------------------------------------------------------------------------------------
248
  neorv32_cpu_alu_inst: neorv32_cpu_alu
249 11 zero_gravi
  generic map (
250 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
251
    FAST_SHIFT_EN         => FAST_SHIFT_EN          -- use barrel shifter for shift operations
252 11 zero_gravi
  )
253 2 zero_gravi
  port map (
254
    -- global control --
255
    clk_i       => clk_i,         -- global clock, rising edge
256
    rstn_i      => rstn_i,        -- global reset, low-active, async
257
    ctrl_i      => ctrl,          -- main control bus
258
    -- data input --
259
    rs1_i       => rs1,           -- rf source 1
260
    rs2_i       => rs2,           -- rf source 2
261 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
262 2 zero_gravi
    imm_i       => imm,           -- immediate
263
    -- data output --
264
    cmp_o       => alu_cmp,       -- comparator status
265
    res_o       => alu_res,       -- ALU result
266 36 zero_gravi
    add_o       => alu_add,       -- address computation result
267
    opb_o       => alu_opb,       -- ALU operand B
268 2 zero_gravi
    -- co-processor interface --
269 19 zero_gravi
    cp0_start_o => cp0_start,     -- trigger co-processor 0
270 2 zero_gravi
    cp0_data_i  => cp0_data,      -- co-processor 0 result
271
    cp0_valid_i => cp0_valid,     -- co-processor 0 result valid
272 19 zero_gravi
    cp1_start_o => cp1_start,     -- trigger co-processor 1
273 2 zero_gravi
    cp1_data_i  => cp1_data,      -- co-processor 1 result
274
    cp1_valid_i => cp1_valid,     -- co-processor 1 result valid
275 36 zero_gravi
    cp2_start_o => cp2_start,     -- trigger co-processor 2
276
    cp2_data_i  => cp2_data,      -- co-processor 2 result
277
    cp2_valid_i => cp2_valid,     -- co-processor 2 result valid
278
    cp3_start_o => cp3_start,     -- trigger co-processor 3
279
    cp3_data_i  => cp3_data,      -- co-processor 3 result
280
    cp3_valid_i => cp3_valid,     -- co-processor 3 result valid
281 2 zero_gravi
    -- status --
282
    wait_o      => alu_wait       -- busy due to iterative processing units
283
  );
284
 
285
 
286
  -- Co-Processor 0: MULDIV Unit ------------------------------------------------------------
287
  -- -------------------------------------------------------------------------------------------
288
  neorv32_cpu_cp_muldiv_inst_true:
289
  if (CPU_EXTENSION_RISCV_M = true) generate
290
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
291 19 zero_gravi
    generic map (
292
      FAST_MUL_EN => FAST_MUL_EN -- use DSPs for faster multiplication
293
    )
294 2 zero_gravi
    port map (
295
      -- global control --
296
      clk_i   => clk_i,           -- global clock, rising edge
297
      rstn_i  => rstn_i,          -- global reset, low-active, async
298
      ctrl_i  => ctrl,            -- main control bus
299 36 zero_gravi
      start_i => cp0_start,       -- trigger operation
300 2 zero_gravi
      -- data input --
301 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
302
      rs2_i   => rs2,             -- rf source 2
303 2 zero_gravi
      -- result and status --
304
      res_o   => cp0_data,        -- operation result
305
      valid_o => cp0_valid        -- data output valid
306
    );
307
  end generate;
308
 
309
  neorv32_cpu_cp_muldiv_inst_false:
310
  if (CPU_EXTENSION_RISCV_M = false) generate
311
    cp0_data  <= (others => '0');
312
    cp0_valid <= '0';
313
  end generate;
314
 
315
 
316 36 zero_gravi
  -- Co-Processor 1: Not implemented yet ----------------------------------------------------
317 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
318 36 zero_gravi
  -- control: ctrl cp1_start
319
  -- inputs:  rs1 rs2 alu_cmp alu_opb
320 2 zero_gravi
  cp1_data  <= (others => '0');
321
  cp1_valid <= '0';
322
 
323
 
324 36 zero_gravi
  -- Co-Processor 2: Not implemented yet ----------------------------------------------------
325
  -- -------------------------------------------------------------------------------------------
326
  -- control: ctrl cp2_start
327
  -- inputs:  rs1 rs2 alu_cmp alu_opb
328
  cp2_data  <= (others => '0');
329
  cp2_valid <= '0';
330
 
331
 
332
  -- Co-Processor 3: Not implemented yet ----------------------------------------------------
333
  -- -------------------------------------------------------------------------------------------
334
  -- control: ctrl cp3_start
335
  -- inputs:  rs1 rs2 alu_cmp alu_opb
336
  cp3_data  <= (others => '0');
337
  cp3_valid <= '0';
338
 
339
 
340 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
341 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
342
  neorv32_cpu_bus_inst: neorv32_cpu_bus
343
  generic map (
344 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
345 15 zero_gravi
    -- Physical memory protection (PMP) --
346 27 zero_gravi
    PMP_USE               => PMP_USE,               -- implement physical memory protection?
347
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS,       -- number of regions (1..4)
348
    PMP_GRANULARITY       => PMP_GRANULARITY        -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
349 2 zero_gravi
  )
350
  port map (
351
    -- global control --
352 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
353
    ctrl_i         => ctrl,           -- main control bus
354
    -- cpu instruction fetch interface --
355
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
356
    instr_o        => instr,          -- instruction
357
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
358
    --
359
    ma_instr_o     => ma_instr,       -- misaligned instruction address
360
    be_instr_o     => be_instr,       -- bus error on instruction access
361
    -- cpu data access interface --
362 31 zero_gravi
    addr_i         => alu_res,        -- ALU result -> access address
363 12 zero_gravi
    wdata_i        => rs2,            -- write data
364
    rdata_o        => rdata,          -- read data
365
    mar_o          => mar,            -- current memory address register
366
    d_wait_o       => bus_d_wait,     -- wait for access to complete
367
    --
368
    ma_load_o      => ma_load,        -- misaligned load data address
369
    ma_store_o     => ma_store,       -- misaligned store data address
370
    be_load_o      => be_load,        -- bus error on load data access
371
    be_store_o     => be_store,       -- bus error on store data access
372 15 zero_gravi
    -- physical memory protection --
373
    pmp_addr_i     => pmp_addr,       -- addresses
374
    pmp_ctrl_i     => pmp_ctrl,       -- configs
375 12 zero_gravi
    -- instruction bus --
376
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
377
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
378
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
379
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
380
    i_bus_we_o     => i_bus_we_o,     -- write enable
381
    i_bus_re_o     => i_bus_re_o,     -- read enable
382
    i_bus_cancel_o => i_bus_cancel_o, -- cancel current bus transaction
383
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
384
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
385
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
386
    -- data bus --
387
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
388
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
389
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
390
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
391
    d_bus_we_o     => d_bus_we_o,     -- write enable
392
    d_bus_re_o     => d_bus_re_o,     -- read enable
393
    d_bus_cancel_o => d_bus_cancel_o, -- cancel current bus transaction
394
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
395
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
396
    d_bus_fence_o  => d_bus_fence_o   -- fence operation
397 2 zero_gravi
  );
398
 
399 35 zero_gravi
  -- current privilege level --
400 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
401
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
402 2 zero_gravi
 
403 35 zero_gravi
 
404 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.