OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 36 zero_gravi
-- # * neorv32_cpu.vhd                  - CPU top entity                                           #
6
-- #   * neorv32_cpu_alu.vhd            - Arithmetic/logic unit                                    #
7
-- #   * neorv32_cpu_bus.vhd            - Instruction and data bus interface unit                  #
8
-- #   * neorv32_cpu_cp_muldiv.vhd      - MULDIV co-processor                                      #
9
-- #   * neorv32_cpu_ctrl.vhd           - CPU control and CSR system                               #
10
-- #     * neorv32_cpu_decompressor.vhd - Compressed instructions decoder                          #
11
-- #   * neorv32_cpu_regfile.vhd        - Data register file                                       #
12 18 zero_gravi
-- #                                                                                               #
13 38 zero_gravi
-- #   * neorv32_package.vhd            - Main CPU/processor package file                          #
14
-- #                                                                                               #
15 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
16 2 zero_gravi
-- # ********************************************************************************************* #
17
-- # BSD 3-Clause License                                                                          #
18
-- #                                                                                               #
19
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
20
-- #                                                                                               #
21
-- # Redistribution and use in source and binary forms, with or without modification, are          #
22
-- # permitted provided that the following conditions are met:                                     #
23
-- #                                                                                               #
24
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
25
-- #    conditions and the following disclaimer.                                                   #
26
-- #                                                                                               #
27
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
28
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
29
-- #    provided with the distribution.                                                            #
30
-- #                                                                                               #
31
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
32
-- #    endorse or promote products derived from this software without specific prior written      #
33
-- #    permission.                                                                                #
34
-- #                                                                                               #
35
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
36
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
37
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
38
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
39
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
40
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
41
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
42
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
43
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
44
-- # ********************************************************************************************* #
45
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
46
-- #################################################################################################
47
 
48
library ieee;
49
use ieee.std_logic_1164.all;
50
use ieee.numeric_std.all;
51
 
52
library neorv32;
53
use neorv32.neorv32_package.all;
54
 
55
entity neorv32_cpu is
56
  generic (
57
    -- General --
58 14 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
59
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
60 2 zero_gravi
    -- RISC-V CPU Extensions --
61 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
62 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
63
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
64
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
65 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
66 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
67
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
68 19 zero_gravi
    -- Extension Options --
69
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
70 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
71 15 zero_gravi
    -- Physical Memory Protection (PMP) --
72 40 zero_gravi
    PMP_USE                      : boolean := false  -- implement PMP?
73 2 zero_gravi
  );
74
  port (
75
    -- global control --
76 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
77
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
78 12 zero_gravi
    -- instruction bus interface --
79
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
80 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
81 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
82
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
83
    i_bus_we_o     : out std_ulogic; -- write enable
84
    i_bus_re_o     : out std_ulogic; -- read enable
85
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
86 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
87
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
88 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
89 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
90 39 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
91 12 zero_gravi
    -- data bus interface --
92
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
93 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
94 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
95
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
96
    d_bus_we_o     : out std_ulogic; -- write enable
97
    d_bus_re_o     : out std_ulogic; -- read enable
98
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
99 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
100
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
101 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
102 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
103 39 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- locked/exclusive access
104 11 zero_gravi
    -- system time input from MTIME --
105 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
106
    -- interrupts (risc-v compliant) --
107
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
108
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
109
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
110
    -- fast interrupts (custom) --
111
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
112 2 zero_gravi
  );
113
end neorv32_cpu;
114
 
115
architecture neorv32_cpu_rtl of neorv32_cpu is
116
 
117
  -- local signals --
118 12 zero_gravi
  signal ctrl       : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
119
  signal alu_cmp    : std_ulogic_vector(1 downto 0); -- alu comparator result
120
  signal imm        : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
121
  signal instr      : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
122
  signal rs1, rs2   : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
123 36 zero_gravi
  signal alu_opb    : std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand b
124 12 zero_gravi
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
125 36 zero_gravi
  signal alu_add    : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
126 12 zero_gravi
  signal rdata      : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
127
  signal alu_wait   : std_ulogic; -- alu is busy due to iterative unit
128
  signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch
129
  signal bus_d_wait : std_ulogic; -- wait for current bus data access
130
  signal csr_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
131
  signal mar        : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
132
  signal ma_instr   : std_ulogic; -- misaligned instruction address
133
  signal ma_load    : std_ulogic; -- misaligned load data address
134
  signal ma_store   : std_ulogic; -- misaligned store data address
135
  signal be_instr   : std_ulogic; -- bus error on instruction access
136
  signal be_load    : std_ulogic; -- bus error on load data access
137
  signal be_store   : std_ulogic; -- bus error on store data access
138
  signal fetch_pc   : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
139
  signal curr_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
140 2 zero_gravi
 
141
  -- co-processor interface --
142 36 zero_gravi
  signal cp0_data,  cp1_data,  cp2_data,  cp3_data  : std_ulogic_vector(data_width_c-1 downto 0);
143
  signal cp0_valid, cp1_valid, cp2_valid, cp3_valid : std_ulogic;
144
  signal cp0_start, cp1_start, cp2_start, cp3_start : std_ulogic;
145 2 zero_gravi
 
146 15 zero_gravi
  -- pmp interface --
147
  signal pmp_addr  : pmp_addr_if_t;
148
  signal pmp_ctrl  : pmp_ctrl_if_t;
149
 
150 2 zero_gravi
begin
151
 
152 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
153
  -- -------------------------------------------------------------------------------------------
154 23 zero_gravi
  -- CSR system --
155 36 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/machine features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
156 23 zero_gravi
  -- U-extension requires Zicsr extension --
157
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
158
  -- PMP requires Zicsr extension --
159
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
160 40 zero_gravi
  -- RISC-V standard performance counters -
161
  assert not ((CPU_EXTENSION_RISCV_Zicsr = true) and (zicnt_en_c = false)) report "NEORV32 CPU CONFIG WARNING! Standard RISC-V peformance counters ([m]cycle[h], [m]instret[h]) will not be implemented (not RISC-V-compliant!)." severity warning;
162
 
163 38 zero_gravi
  -- Instruction prefetch buffer size --
164
  assert not (is_power_of_two_f(ipb_entries_c) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <ipb_entries_c> has to be a power of two." severity error;
165 39 zero_gravi
  -- A extension - only lr.w and sc.w supported yet --
166
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 CPU CONFIG WARNING! Atomic operations extension (A) only supports >lr.w< and >sc.w< instructions yet." severity warning;
167 15 zero_gravi
 
168 40 zero_gravi
  -- PMP regions check --
169
  assert not ((pmp_num_regions_c > pmp_max_r_c) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <pmp_num_regions_c> out of valid range." severity error;
170
  -- PMP granulartiy --
171
  assert not ((is_power_of_two_f(pmp_min_granularity_c) = false) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be a power of two." severity error;
172
  assert not ((pmp_min_granularity_c < 8) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be >= 8 bytes." severity error;
173 23 zero_gravi
 
174 40 zero_gravi
  -- PMP notifier --
175
  assert not (PMP_USE = true) report "NEORV32 CPU CONFIG NOTE: Implementing physical memory protection (PMP) with " & integer'image(pmp_num_regions_c) & " regions and " & integer'image(pmp_min_granularity_c) & " bytes minimal region size (granulartiy)." severity note;
176
 
177 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
178
  -- -------------------------------------------------------------------------------------------
179
  neorv32_cpu_control_inst: neorv32_cpu_control
180
  generic map (
181
    -- General --
182 40 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,  -- hardware thread id
183
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR, -- cpu boot address
184 2 zero_gravi
    -- RISC-V CPU Extensions --
185 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
186 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
187
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
188
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
189
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
190
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
191
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
192
    -- Physical memory protection (PMP) --
193 40 zero_gravi
    PMP_USE                      => PMP_USE        -- implement physical memory protection?
194 2 zero_gravi
  )
195
  port map (
196
    -- global control --
197
    clk_i         => clk_i,       -- global clock, rising edge
198
    rstn_i        => rstn_i,      -- global reset, low-active, async
199
    ctrl_o        => ctrl,        -- main control bus
200
    -- status input --
201
    alu_wait_i    => alu_wait,    -- wait for ALU
202 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
203
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
204 2 zero_gravi
    -- data input --
205
    instr_i       => instr,       -- instruction
206
    cmp_i         => alu_cmp,     -- comparator status
207 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
208
    rs1_i         => rs1,         -- rf source 1
209 2 zero_gravi
    -- data output --
210
    imm_o         => imm,         -- immediate
211 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
212
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
213 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
214 14 zero_gravi
    -- interrupts (risc-v compliant) --
215
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
216
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
217 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
218 14 zero_gravi
    -- fast interrupts (custom) --
219
    firq_i        => firq_i,
220 11 zero_gravi
    -- system time input from MTIME --
221
    time_i        => time_i,      -- current system time
222 15 zero_gravi
    -- physical memory protection --
223
    pmp_addr_o    => pmp_addr,    -- addresses
224
    pmp_ctrl_o    => pmp_ctrl,    -- configs
225 2 zero_gravi
    -- bus access exceptions --
226
    mar_i         => mar,         -- memory address register
227
    ma_instr_i    => ma_instr,    -- misaligned instruction address
228
    ma_load_i     => ma_load,     -- misaligned load data address
229
    ma_store_i    => ma_store,    -- misaligned store data address
230
    be_instr_i    => be_instr,    -- bus error on instruction access
231
    be_load_i     => be_load,     -- bus error on load data access
232 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
233 2 zero_gravi
  );
234
 
235
 
236
  -- Register File --------------------------------------------------------------------------
237
  -- -------------------------------------------------------------------------------------------
238
  neorv32_regfile_inst: neorv32_cpu_regfile
239
  generic map (
240
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
241
  )
242
  port map (
243
    -- global control --
244
    clk_i  => clk_i,              -- global clock, rising edge
245
    ctrl_i => ctrl,               -- main control bus
246
    -- data input --
247
    mem_i  => rdata,              -- memory read data
248
    alu_i  => alu_res,            -- ALU result
249
    csr_i  => csr_rdata,          -- CSR read data
250
    -- data output --
251
    rs1_o  => rs1,                -- operand 1
252
    rs2_o  => rs2                 -- operand 2
253
  );
254
 
255
 
256
  -- ALU ------------------------------------------------------------------------------------
257
  -- -------------------------------------------------------------------------------------------
258
  neorv32_cpu_alu_inst: neorv32_cpu_alu
259 11 zero_gravi
  generic map (
260 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
261
    FAST_SHIFT_EN         => FAST_SHIFT_EN          -- use barrel shifter for shift operations
262 11 zero_gravi
  )
263 2 zero_gravi
  port map (
264
    -- global control --
265
    clk_i       => clk_i,         -- global clock, rising edge
266
    rstn_i      => rstn_i,        -- global reset, low-active, async
267
    ctrl_i      => ctrl,          -- main control bus
268
    -- data input --
269
    rs1_i       => rs1,           -- rf source 1
270
    rs2_i       => rs2,           -- rf source 2
271 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
272 2 zero_gravi
    imm_i       => imm,           -- immediate
273
    -- data output --
274
    cmp_o       => alu_cmp,       -- comparator status
275
    res_o       => alu_res,       -- ALU result
276 36 zero_gravi
    add_o       => alu_add,       -- address computation result
277
    opb_o       => alu_opb,       -- ALU operand B
278 2 zero_gravi
    -- co-processor interface --
279 19 zero_gravi
    cp0_start_o => cp0_start,     -- trigger co-processor 0
280 2 zero_gravi
    cp0_data_i  => cp0_data,      -- co-processor 0 result
281
    cp0_valid_i => cp0_valid,     -- co-processor 0 result valid
282 19 zero_gravi
    cp1_start_o => cp1_start,     -- trigger co-processor 1
283 2 zero_gravi
    cp1_data_i  => cp1_data,      -- co-processor 1 result
284
    cp1_valid_i => cp1_valid,     -- co-processor 1 result valid
285 36 zero_gravi
    cp2_start_o => cp2_start,     -- trigger co-processor 2
286
    cp2_data_i  => cp2_data,      -- co-processor 2 result
287
    cp2_valid_i => cp2_valid,     -- co-processor 2 result valid
288
    cp3_start_o => cp3_start,     -- trigger co-processor 3
289
    cp3_data_i  => cp3_data,      -- co-processor 3 result
290
    cp3_valid_i => cp3_valid,     -- co-processor 3 result valid
291 2 zero_gravi
    -- status --
292
    wait_o      => alu_wait       -- busy due to iterative processing units
293
  );
294
 
295
 
296
  -- Co-Processor 0: MULDIV Unit ------------------------------------------------------------
297
  -- -------------------------------------------------------------------------------------------
298
  neorv32_cpu_cp_muldiv_inst_true:
299
  if (CPU_EXTENSION_RISCV_M = true) generate
300
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
301 19 zero_gravi
    generic map (
302 38 zero_gravi
      FAST_MUL_EN => FAST_MUL_EN  -- use DSPs for faster multiplication
303 19 zero_gravi
    )
304 2 zero_gravi
    port map (
305
      -- global control --
306
      clk_i   => clk_i,           -- global clock, rising edge
307
      rstn_i  => rstn_i,          -- global reset, low-active, async
308
      ctrl_i  => ctrl,            -- main control bus
309 36 zero_gravi
      start_i => cp0_start,       -- trigger operation
310 2 zero_gravi
      -- data input --
311 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
312
      rs2_i   => rs2,             -- rf source 2
313 2 zero_gravi
      -- result and status --
314
      res_o   => cp0_data,        -- operation result
315
      valid_o => cp0_valid        -- data output valid
316
    );
317
  end generate;
318
 
319
  neorv32_cpu_cp_muldiv_inst_false:
320
  if (CPU_EXTENSION_RISCV_M = false) generate
321
    cp0_data  <= (others => '0');
322 40 zero_gravi
    cp0_valid <= cp0_start; -- to make sure CPU does not get stalled if there is an accidental access
323 2 zero_gravi
  end generate;
324
 
325
 
326 39 zero_gravi
  -- Co-Processor 1: Atomic Memory Access (SC - store-conditional) --------------------------
327 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
328 40 zero_gravi
  atomic_op_cp: process(cp1_start, ctrl)
329 39 zero_gravi
  begin
330
    -- "fake" co-processor for atomic operations
331
    -- used to get the result of a store-conditional operation into the data path
332 40 zero_gravi
    if (CPU_EXTENSION_RISCV_A = true) then
333
      if (cp1_start = '1') then
334
        cp1_data    <= (others => '0');
335
        cp1_data(0) <= not ctrl(ctrl_bus_lock_c);
336
        cp1_valid   <= '1';
337
      else
338
        cp1_data  <= (others => '0');
339
        cp1_valid <= '0';
340
      end if;
341 39 zero_gravi
    else
342
      cp1_data  <= (others => '0');
343 40 zero_gravi
      cp1_valid <= cp1_start; -- to make sure CPU does not get stalled if there is an accidental access
344 39 zero_gravi
    end if;
345 40 zero_gravi
  end process atomic_op_cp;
346 2 zero_gravi
 
347
 
348 38 zero_gravi
  -- Co-Processor 2: Not implemented (yet) --------------------------------------------------
349 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
350
  -- control: ctrl cp2_start
351
  -- inputs:  rs1 rs2 alu_cmp alu_opb
352
  cp2_data  <= (others => '0');
353 40 zero_gravi
  cp2_valid <= cp2_start; -- to make sure CPU does not get stalled if there is an accidental access
354 36 zero_gravi
 
355
 
356 38 zero_gravi
  -- Co-Processor 3: Not implemented (yet) --------------------------------------------------
357 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
358
  -- control: ctrl cp3_start
359
  -- inputs:  rs1 rs2 alu_cmp alu_opb
360
  cp3_data  <= (others => '0');
361 40 zero_gravi
  cp3_valid <= cp3_start; -- to make sure CPU does not get stalled if there is an accidental access
362 36 zero_gravi
 
363
 
364 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
365 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
366
  neorv32_cpu_bus_inst: neorv32_cpu_bus
367
  generic map (
368 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
369 15 zero_gravi
    -- Physical memory protection (PMP) --
370 40 zero_gravi
    PMP_USE               => PMP_USE                -- implement physical memory protection?
371 2 zero_gravi
  )
372
  port map (
373
    -- global control --
374 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
375 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
376 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
377
    -- cpu instruction fetch interface --
378
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
379
    instr_o        => instr,          -- instruction
380
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
381
    --
382
    ma_instr_o     => ma_instr,       -- misaligned instruction address
383
    be_instr_o     => be_instr,       -- bus error on instruction access
384
    -- cpu data access interface --
385 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
386 12 zero_gravi
    wdata_i        => rs2,            -- write data
387
    rdata_o        => rdata,          -- read data
388
    mar_o          => mar,            -- current memory address register
389
    d_wait_o       => bus_d_wait,     -- wait for access to complete
390
    --
391
    ma_load_o      => ma_load,        -- misaligned load data address
392
    ma_store_o     => ma_store,       -- misaligned store data address
393
    be_load_o      => be_load,        -- bus error on load data access
394
    be_store_o     => be_store,       -- bus error on store data access
395 15 zero_gravi
    -- physical memory protection --
396
    pmp_addr_i     => pmp_addr,       -- addresses
397
    pmp_ctrl_i     => pmp_ctrl,       -- configs
398 12 zero_gravi
    -- instruction bus --
399
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
400
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
401
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
402
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
403
    i_bus_we_o     => i_bus_we_o,     -- write enable
404
    i_bus_re_o     => i_bus_re_o,     -- read enable
405
    i_bus_cancel_o => i_bus_cancel_o, -- cancel current bus transaction
406
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
407
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
408
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
409 39 zero_gravi
    i_bus_lock_o   => i_bus_lock_o,   -- locked/exclusive access
410 12 zero_gravi
    -- data bus --
411
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
412
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
413
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
414
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
415
    d_bus_we_o     => d_bus_we_o,     -- write enable
416
    d_bus_re_o     => d_bus_re_o,     -- read enable
417
    d_bus_cancel_o => d_bus_cancel_o, -- cancel current bus transaction
418
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
419
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
420 39 zero_gravi
    d_bus_fence_o  => d_bus_fence_o,  -- fence operation
421
    d_bus_lock_o   => d_bus_lock_o    -- locked/exclusive access
422 2 zero_gravi
  );
423
 
424 35 zero_gravi
  -- current privilege level --
425 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
426
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
427 2 zero_gravi
 
428 35 zero_gravi
 
429 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.