OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 36 zero_gravi
-- # * neorv32_cpu.vhd                  - CPU top entity                                           #
6
-- #   * neorv32_cpu_alu.vhd            - Arithmetic/logic unit                                    #
7
-- #   * neorv32_cpu_bus.vhd            - Instruction and data bus interface unit                  #
8
-- #   * neorv32_cpu_cp_muldiv.vhd      - MULDIV co-processor                                      #
9
-- #   * neorv32_cpu_ctrl.vhd           - CPU control and CSR system                               #
10
-- #     * neorv32_cpu_decompressor.vhd - Compressed instructions decoder                          #
11
-- #   * neorv32_cpu_regfile.vhd        - Data register file                                       #
12 18 zero_gravi
-- #                                                                                               #
13 38 zero_gravi
-- #   * neorv32_package.vhd            - Main CPU/processor package file                          #
14
-- #                                                                                               #
15 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
16 2 zero_gravi
-- # ********************************************************************************************* #
17
-- # BSD 3-Clause License                                                                          #
18
-- #                                                                                               #
19
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
20
-- #                                                                                               #
21
-- # Redistribution and use in source and binary forms, with or without modification, are          #
22
-- # permitted provided that the following conditions are met:                                     #
23
-- #                                                                                               #
24
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
25
-- #    conditions and the following disclaimer.                                                   #
26
-- #                                                                                               #
27
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
28
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
29
-- #    provided with the distribution.                                                            #
30
-- #                                                                                               #
31
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
32
-- #    endorse or promote products derived from this software without specific prior written      #
33
-- #    permission.                                                                                #
34
-- #                                                                                               #
35
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
36
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
37
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
38
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
39
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
40
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
41
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
42
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
43
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
44
-- # ********************************************************************************************* #
45
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
46
-- #################################################################################################
47
 
48
library ieee;
49
use ieee.std_logic_1164.all;
50
use ieee.numeric_std.all;
51
 
52
library neorv32;
53
use neorv32.neorv32_package.all;
54
 
55
entity neorv32_cpu is
56
  generic (
57
    -- General --
58 14 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
59
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
60 41 zero_gravi
    BUS_TIMEOUT                  : natural := 63;    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
61 2 zero_gravi
    -- RISC-V CPU Extensions --
62 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
63 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
64
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
65
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
66 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
67 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
68
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
69 19 zero_gravi
    -- Extension Options --
70
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
71 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
72 15 zero_gravi
    -- Physical Memory Protection (PMP) --
73 40 zero_gravi
    PMP_USE                      : boolean := false  -- implement PMP?
74 2 zero_gravi
  );
75
  port (
76
    -- global control --
77 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
78
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
79 12 zero_gravi
    -- instruction bus interface --
80
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
81 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
82 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
83
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
84
    i_bus_we_o     : out std_ulogic; -- write enable
85
    i_bus_re_o     : out std_ulogic; -- read enable
86
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
87 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
88
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
89 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
90 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
91 39 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
92 12 zero_gravi
    -- data bus interface --
93
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
94 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
95 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
96
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
97
    d_bus_we_o     : out std_ulogic; -- write enable
98
    d_bus_re_o     : out std_ulogic; -- read enable
99
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
100 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
101
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
102 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
103 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
104 39 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- locked/exclusive access
105 11 zero_gravi
    -- system time input from MTIME --
106 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
107
    -- interrupts (risc-v compliant) --
108
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
109
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
110
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
111
    -- fast interrupts (custom) --
112
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
113 2 zero_gravi
  );
114
end neorv32_cpu;
115
 
116
architecture neorv32_cpu_rtl of neorv32_cpu is
117
 
118
  -- local signals --
119 12 zero_gravi
  signal ctrl       : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
120
  signal alu_cmp    : std_ulogic_vector(1 downto 0); -- alu comparator result
121
  signal imm        : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
122
  signal instr      : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
123
  signal rs1, rs2   : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
124 36 zero_gravi
  signal alu_opb    : std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand b
125 12 zero_gravi
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
126 36 zero_gravi
  signal alu_add    : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
127 12 zero_gravi
  signal rdata      : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
128
  signal alu_wait   : std_ulogic; -- alu is busy due to iterative unit
129
  signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch
130
  signal bus_d_wait : std_ulogic; -- wait for current bus data access
131
  signal csr_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
132
  signal mar        : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
133
  signal ma_instr   : std_ulogic; -- misaligned instruction address
134
  signal ma_load    : std_ulogic; -- misaligned load data address
135
  signal ma_store   : std_ulogic; -- misaligned store data address
136
  signal be_instr   : std_ulogic; -- bus error on instruction access
137
  signal be_load    : std_ulogic; -- bus error on load data access
138
  signal be_store   : std_ulogic; -- bus error on store data access
139
  signal fetch_pc   : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
140
  signal curr_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
141 2 zero_gravi
 
142
  -- co-processor interface --
143 36 zero_gravi
  signal cp0_data,  cp1_data,  cp2_data,  cp3_data  : std_ulogic_vector(data_width_c-1 downto 0);
144
  signal cp0_valid, cp1_valid, cp2_valid, cp3_valid : std_ulogic;
145
  signal cp0_start, cp1_start, cp2_start, cp3_start : std_ulogic;
146 2 zero_gravi
 
147 15 zero_gravi
  -- pmp interface --
148
  signal pmp_addr  : pmp_addr_if_t;
149
  signal pmp_ctrl  : pmp_ctrl_if_t;
150
 
151 2 zero_gravi
begin
152
 
153 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
154
  -- -------------------------------------------------------------------------------------------
155 23 zero_gravi
  -- CSR system --
156 41 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
157 23 zero_gravi
  -- U-extension requires Zicsr extension --
158
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
159
  -- PMP requires Zicsr extension --
160
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
161 40 zero_gravi
 
162 41 zero_gravi
  -- Bus timeout --
163
  assert not (BUS_TIMEOUT < 2) report "NEORV32 CPU CONFIG ERROR! Invalid bus access timeout value <BUS_TIMEOUT>. Has to be >= 2." severity error;
164
 
165 38 zero_gravi
  -- Instruction prefetch buffer size --
166
  assert not (is_power_of_two_f(ipb_entries_c) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <ipb_entries_c> has to be a power of two." severity error;
167 39 zero_gravi
  -- A extension - only lr.w and sc.w supported yet --
168
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 CPU CONFIG WARNING! Atomic operations extension (A) only supports >lr.w< and >sc.w< instructions yet." severity warning;
169 15 zero_gravi
 
170 40 zero_gravi
  -- PMP regions check --
171
  assert not ((pmp_num_regions_c > pmp_max_r_c) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <pmp_num_regions_c> out of valid range." severity error;
172
  -- PMP granulartiy --
173
  assert not ((is_power_of_two_f(pmp_min_granularity_c) = false) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be a power of two." severity error;
174
  assert not ((pmp_min_granularity_c < 8) and (PMP_USE = true)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be >= 8 bytes." severity error;
175 23 zero_gravi
 
176 40 zero_gravi
  -- PMP notifier --
177
  assert not (PMP_USE = true) report "NEORV32 CPU CONFIG NOTE: Implementing physical memory protection (PMP) with " & integer'image(pmp_num_regions_c) & " regions and " & integer'image(pmp_min_granularity_c) & " bytes minimal region size (granulartiy)." severity note;
178
 
179 41 zero_gravi
 
180 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
181
  -- -------------------------------------------------------------------------------------------
182
  neorv32_cpu_control_inst: neorv32_cpu_control
183
  generic map (
184
    -- General --
185 40 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,  -- hardware thread id
186
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR, -- cpu boot address
187 2 zero_gravi
    -- RISC-V CPU Extensions --
188 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
189 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
190
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
191
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
192
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
193
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
194
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
195
    -- Physical memory protection (PMP) --
196 40 zero_gravi
    PMP_USE                      => PMP_USE        -- implement physical memory protection?
197 2 zero_gravi
  )
198
  port map (
199
    -- global control --
200
    clk_i         => clk_i,       -- global clock, rising edge
201
    rstn_i        => rstn_i,      -- global reset, low-active, async
202
    ctrl_o        => ctrl,        -- main control bus
203
    -- status input --
204
    alu_wait_i    => alu_wait,    -- wait for ALU
205 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
206
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
207 2 zero_gravi
    -- data input --
208
    instr_i       => instr,       -- instruction
209
    cmp_i         => alu_cmp,     -- comparator status
210 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
211
    rs1_i         => rs1,         -- rf source 1
212 2 zero_gravi
    -- data output --
213
    imm_o         => imm,         -- immediate
214 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
215
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
216 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
217 14 zero_gravi
    -- interrupts (risc-v compliant) --
218
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
219
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
220 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
221 14 zero_gravi
    -- fast interrupts (custom) --
222
    firq_i        => firq_i,
223 11 zero_gravi
    -- system time input from MTIME --
224
    time_i        => time_i,      -- current system time
225 15 zero_gravi
    -- physical memory protection --
226
    pmp_addr_o    => pmp_addr,    -- addresses
227
    pmp_ctrl_o    => pmp_ctrl,    -- configs
228 2 zero_gravi
    -- bus access exceptions --
229
    mar_i         => mar,         -- memory address register
230
    ma_instr_i    => ma_instr,    -- misaligned instruction address
231
    ma_load_i     => ma_load,     -- misaligned load data address
232
    ma_store_i    => ma_store,    -- misaligned store data address
233
    be_instr_i    => be_instr,    -- bus error on instruction access
234
    be_load_i     => be_load,     -- bus error on load data access
235 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
236 2 zero_gravi
  );
237
 
238
 
239
  -- Register File --------------------------------------------------------------------------
240
  -- -------------------------------------------------------------------------------------------
241
  neorv32_regfile_inst: neorv32_cpu_regfile
242
  generic map (
243
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
244
  )
245
  port map (
246
    -- global control --
247
    clk_i  => clk_i,              -- global clock, rising edge
248
    ctrl_i => ctrl,               -- main control bus
249
    -- data input --
250
    mem_i  => rdata,              -- memory read data
251
    alu_i  => alu_res,            -- ALU result
252
    csr_i  => csr_rdata,          -- CSR read data
253
    -- data output --
254
    rs1_o  => rs1,                -- operand 1
255
    rs2_o  => rs2                 -- operand 2
256
  );
257
 
258
 
259
  -- ALU ------------------------------------------------------------------------------------
260
  -- -------------------------------------------------------------------------------------------
261
  neorv32_cpu_alu_inst: neorv32_cpu_alu
262 11 zero_gravi
  generic map (
263 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
264
    FAST_SHIFT_EN         => FAST_SHIFT_EN          -- use barrel shifter for shift operations
265 11 zero_gravi
  )
266 2 zero_gravi
  port map (
267
    -- global control --
268
    clk_i       => clk_i,         -- global clock, rising edge
269
    rstn_i      => rstn_i,        -- global reset, low-active, async
270
    ctrl_i      => ctrl,          -- main control bus
271
    -- data input --
272
    rs1_i       => rs1,           -- rf source 1
273
    rs2_i       => rs2,           -- rf source 2
274 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
275 2 zero_gravi
    imm_i       => imm,           -- immediate
276
    -- data output --
277
    cmp_o       => alu_cmp,       -- comparator status
278
    res_o       => alu_res,       -- ALU result
279 36 zero_gravi
    add_o       => alu_add,       -- address computation result
280
    opb_o       => alu_opb,       -- ALU operand B
281 2 zero_gravi
    -- co-processor interface --
282 19 zero_gravi
    cp0_start_o => cp0_start,     -- trigger co-processor 0
283 2 zero_gravi
    cp0_data_i  => cp0_data,      -- co-processor 0 result
284
    cp0_valid_i => cp0_valid,     -- co-processor 0 result valid
285 19 zero_gravi
    cp1_start_o => cp1_start,     -- trigger co-processor 1
286 2 zero_gravi
    cp1_data_i  => cp1_data,      -- co-processor 1 result
287
    cp1_valid_i => cp1_valid,     -- co-processor 1 result valid
288 36 zero_gravi
    cp2_start_o => cp2_start,     -- trigger co-processor 2
289
    cp2_data_i  => cp2_data,      -- co-processor 2 result
290
    cp2_valid_i => cp2_valid,     -- co-processor 2 result valid
291
    cp3_start_o => cp3_start,     -- trigger co-processor 3
292
    cp3_data_i  => cp3_data,      -- co-processor 3 result
293
    cp3_valid_i => cp3_valid,     -- co-processor 3 result valid
294 2 zero_gravi
    -- status --
295
    wait_o      => alu_wait       -- busy due to iterative processing units
296
  );
297
 
298
 
299
  -- Co-Processor 0: MULDIV Unit ------------------------------------------------------------
300
  -- -------------------------------------------------------------------------------------------
301
  neorv32_cpu_cp_muldiv_inst_true:
302
  if (CPU_EXTENSION_RISCV_M = true) generate
303
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
304 19 zero_gravi
    generic map (
305 38 zero_gravi
      FAST_MUL_EN => FAST_MUL_EN  -- use DSPs for faster multiplication
306 19 zero_gravi
    )
307 2 zero_gravi
    port map (
308
      -- global control --
309
      clk_i   => clk_i,           -- global clock, rising edge
310
      rstn_i  => rstn_i,          -- global reset, low-active, async
311
      ctrl_i  => ctrl,            -- main control bus
312 36 zero_gravi
      start_i => cp0_start,       -- trigger operation
313 2 zero_gravi
      -- data input --
314 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
315
      rs2_i   => rs2,             -- rf source 2
316 2 zero_gravi
      -- result and status --
317
      res_o   => cp0_data,        -- operation result
318
      valid_o => cp0_valid        -- data output valid
319
    );
320
  end generate;
321
 
322
  neorv32_cpu_cp_muldiv_inst_false:
323
  if (CPU_EXTENSION_RISCV_M = false) generate
324
    cp0_data  <= (others => '0');
325 40 zero_gravi
    cp0_valid <= cp0_start; -- to make sure CPU does not get stalled if there is an accidental access
326 2 zero_gravi
  end generate;
327
 
328
 
329 39 zero_gravi
  -- Co-Processor 1: Atomic Memory Access (SC - store-conditional) --------------------------
330 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
331 40 zero_gravi
  atomic_op_cp: process(cp1_start, ctrl)
332 39 zero_gravi
  begin
333
    -- "fake" co-processor for atomic operations
334
    -- used to get the result of a store-conditional operation into the data path
335 40 zero_gravi
    if (CPU_EXTENSION_RISCV_A = true) then
336
      if (cp1_start = '1') then
337
        cp1_data    <= (others => '0');
338
        cp1_data(0) <= not ctrl(ctrl_bus_lock_c);
339
        cp1_valid   <= '1';
340
      else
341
        cp1_data  <= (others => '0');
342
        cp1_valid <= '0';
343
      end if;
344 39 zero_gravi
    else
345
      cp1_data  <= (others => '0');
346 40 zero_gravi
      cp1_valid <= cp1_start; -- to make sure CPU does not get stalled if there is an accidental access
347 39 zero_gravi
    end if;
348 40 zero_gravi
  end process atomic_op_cp;
349 2 zero_gravi
 
350
 
351 38 zero_gravi
  -- Co-Processor 2: Not implemented (yet) --------------------------------------------------
352 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
353
  -- control: ctrl cp2_start
354
  -- inputs:  rs1 rs2 alu_cmp alu_opb
355
  cp2_data  <= (others => '0');
356 40 zero_gravi
  cp2_valid <= cp2_start; -- to make sure CPU does not get stalled if there is an accidental access
357 36 zero_gravi
 
358
 
359 38 zero_gravi
  -- Co-Processor 3: Not implemented (yet) --------------------------------------------------
360 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
361
  -- control: ctrl cp3_start
362
  -- inputs:  rs1 rs2 alu_cmp alu_opb
363
  cp3_data  <= (others => '0');
364 40 zero_gravi
  cp3_valid <= cp3_start; -- to make sure CPU does not get stalled if there is an accidental access
365 36 zero_gravi
 
366
 
367 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
368 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
369
  neorv32_cpu_bus_inst: neorv32_cpu_bus
370
  generic map (
371 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
372 15 zero_gravi
    -- Physical memory protection (PMP) --
373 41 zero_gravi
    PMP_USE               => PMP_USE,               -- implement physical memory protection?
374
    -- Bus Timeout --
375
    BUS_TIMEOUT           => BUS_TIMEOUT            -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
376 2 zero_gravi
  )
377
  port map (
378
    -- global control --
379 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
380 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
381 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
382
    -- cpu instruction fetch interface --
383
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
384
    instr_o        => instr,          -- instruction
385
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
386
    --
387
    ma_instr_o     => ma_instr,       -- misaligned instruction address
388
    be_instr_o     => be_instr,       -- bus error on instruction access
389
    -- cpu data access interface --
390 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
391 12 zero_gravi
    wdata_i        => rs2,            -- write data
392
    rdata_o        => rdata,          -- read data
393
    mar_o          => mar,            -- current memory address register
394
    d_wait_o       => bus_d_wait,     -- wait for access to complete
395
    --
396
    ma_load_o      => ma_load,        -- misaligned load data address
397
    ma_store_o     => ma_store,       -- misaligned store data address
398
    be_load_o      => be_load,        -- bus error on load data access
399
    be_store_o     => be_store,       -- bus error on store data access
400 15 zero_gravi
    -- physical memory protection --
401
    pmp_addr_i     => pmp_addr,       -- addresses
402
    pmp_ctrl_i     => pmp_ctrl,       -- configs
403 12 zero_gravi
    -- instruction bus --
404
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
405
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
406
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
407
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
408
    i_bus_we_o     => i_bus_we_o,     -- write enable
409
    i_bus_re_o     => i_bus_re_o,     -- read enable
410
    i_bus_cancel_o => i_bus_cancel_o, -- cancel current bus transaction
411
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
412
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
413
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
414 39 zero_gravi
    i_bus_lock_o   => i_bus_lock_o,   -- locked/exclusive access
415 12 zero_gravi
    -- data bus --
416
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
417
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
418
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
419
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
420
    d_bus_we_o     => d_bus_we_o,     -- write enable
421
    d_bus_re_o     => d_bus_re_o,     -- read enable
422
    d_bus_cancel_o => d_bus_cancel_o, -- cancel current bus transaction
423
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
424
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
425 39 zero_gravi
    d_bus_fence_o  => d_bus_fence_o,  -- fence operation
426
    d_bus_lock_o   => d_bus_lock_o    -- locked/exclusive access
427 2 zero_gravi
  );
428
 
429 35 zero_gravi
  -- current privilege level --
430 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
431
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
432 2 zero_gravi
 
433 35 zero_gravi
 
434 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.