OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 42

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 36 zero_gravi
-- # * neorv32_cpu.vhd                  - CPU top entity                                           #
6
-- #   * neorv32_cpu_alu.vhd            - Arithmetic/logic unit                                    #
7
-- #   * neorv32_cpu_bus.vhd            - Instruction and data bus interface unit                  #
8
-- #   * neorv32_cpu_cp_muldiv.vhd      - MULDIV co-processor                                      #
9
-- #   * neorv32_cpu_ctrl.vhd           - CPU control and CSR system                               #
10
-- #     * neorv32_cpu_decompressor.vhd - Compressed instructions decoder                          #
11
-- #   * neorv32_cpu_regfile.vhd        - Data register file                                       #
12 18 zero_gravi
-- #                                                                                               #
13 38 zero_gravi
-- #   * neorv32_package.vhd            - Main CPU/processor package file                          #
14
-- #                                                                                               #
15 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
16 2 zero_gravi
-- # ********************************************************************************************* #
17
-- # BSD 3-Clause License                                                                          #
18
-- #                                                                                               #
19 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
20 2 zero_gravi
-- #                                                                                               #
21
-- # Redistribution and use in source and binary forms, with or without modification, are          #
22
-- # permitted provided that the following conditions are met:                                     #
23
-- #                                                                                               #
24
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
25
-- #    conditions and the following disclaimer.                                                   #
26
-- #                                                                                               #
27
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
28
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
29
-- #    provided with the distribution.                                                            #
30
-- #                                                                                               #
31
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
32
-- #    endorse or promote products derived from this software without specific prior written      #
33
-- #    permission.                                                                                #
34
-- #                                                                                               #
35
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
36
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
37
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
38
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
39
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
40
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
41
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
42
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
43
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
44
-- # ********************************************************************************************* #
45
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
46
-- #################################################################################################
47
 
48
library ieee;
49
use ieee.std_logic_1164.all;
50
use ieee.numeric_std.all;
51
 
52
library neorv32;
53
use neorv32.neorv32_package.all;
54
 
55
entity neorv32_cpu is
56
  generic (
57
    -- General --
58 14 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
59
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
60 41 zero_gravi
    BUS_TIMEOUT                  : natural := 63;    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
61 2 zero_gravi
    -- RISC-V CPU Extensions --
62 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
63 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
64
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
65
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
66 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
67 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
68
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
69 19 zero_gravi
    -- Extension Options --
70
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
71 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
72 15 zero_gravi
    -- Physical Memory Protection (PMP) --
73 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0; -- number of regions (0..64)
74
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
75
    -- Hardware Performance Monitors (HPM) --
76
    HPM_NUM_CNTS                 : natural := 0      -- number of inmplemnted HPM counters (0..29)
77 2 zero_gravi
  );
78
  port (
79
    -- global control --
80 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
81
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
82 12 zero_gravi
    -- instruction bus interface --
83
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
84 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
85 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
86
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
87
    i_bus_we_o     : out std_ulogic; -- write enable
88
    i_bus_re_o     : out std_ulogic; -- read enable
89
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
90 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
91
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
92 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
93 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
94 39 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
95 12 zero_gravi
    -- data bus interface --
96
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
97 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
98 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
99
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
100
    d_bus_we_o     : out std_ulogic; -- write enable
101
    d_bus_re_o     : out std_ulogic; -- read enable
102
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
103 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
104
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
105 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
106 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
107 39 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- locked/exclusive access
108 11 zero_gravi
    -- system time input from MTIME --
109 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
110
    -- interrupts (risc-v compliant) --
111
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
112
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
113
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
114
    -- fast interrupts (custom) --
115
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
116 2 zero_gravi
  );
117
end neorv32_cpu;
118
 
119
architecture neorv32_cpu_rtl of neorv32_cpu is
120
 
121
  -- local signals --
122 12 zero_gravi
  signal ctrl       : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
123
  signal alu_cmp    : std_ulogic_vector(1 downto 0); -- alu comparator result
124
  signal imm        : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
125
  signal instr      : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
126
  signal rs1, rs2   : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
127 36 zero_gravi
  signal alu_opb    : std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand b
128 12 zero_gravi
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
129 36 zero_gravi
  signal alu_add    : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
130 12 zero_gravi
  signal rdata      : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
131
  signal alu_wait   : std_ulogic; -- alu is busy due to iterative unit
132
  signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch
133
  signal bus_d_wait : std_ulogic; -- wait for current bus data access
134
  signal csr_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
135
  signal mar        : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
136
  signal ma_instr   : std_ulogic; -- misaligned instruction address
137
  signal ma_load    : std_ulogic; -- misaligned load data address
138
  signal ma_store   : std_ulogic; -- misaligned store data address
139
  signal be_instr   : std_ulogic; -- bus error on instruction access
140
  signal be_load    : std_ulogic; -- bus error on load data access
141
  signal be_store   : std_ulogic; -- bus error on store data access
142
  signal fetch_pc   : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
143
  signal curr_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
144 2 zero_gravi
 
145
  -- co-processor interface --
146 36 zero_gravi
  signal cp0_data,  cp1_data,  cp2_data,  cp3_data  : std_ulogic_vector(data_width_c-1 downto 0);
147
  signal cp0_valid, cp1_valid, cp2_valid, cp3_valid : std_ulogic;
148
  signal cp0_start, cp1_start, cp2_start, cp3_start : std_ulogic;
149 2 zero_gravi
 
150 15 zero_gravi
  -- pmp interface --
151
  signal pmp_addr  : pmp_addr_if_t;
152
  signal pmp_ctrl  : pmp_ctrl_if_t;
153
 
154 2 zero_gravi
begin
155
 
156 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
157
  -- -------------------------------------------------------------------------------------------
158 23 zero_gravi
  -- CSR system --
159 41 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
160 23 zero_gravi
  -- U-extension requires Zicsr extension --
161
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
162
  -- PMP requires Zicsr extension --
163 42 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
164
  -- HPM CNT requires Zicsr extension --
165
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (HPM_NUM_CNTS > 0)) report "NEORV32 CPU CONFIG ERROR! Performance monitors (HMP) require CPU_EXTENSION_RISCV_Zicsr extension." severity error;
166 40 zero_gravi
 
167 41 zero_gravi
  -- Bus timeout --
168
  assert not (BUS_TIMEOUT < 2) report "NEORV32 CPU CONFIG ERROR! Invalid bus access timeout value <BUS_TIMEOUT>. Has to be >= 2." severity error;
169
 
170 38 zero_gravi
  -- Instruction prefetch buffer size --
171
  assert not (is_power_of_two_f(ipb_entries_c) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <ipb_entries_c> has to be a power of two." severity error;
172 39 zero_gravi
  -- A extension - only lr.w and sc.w supported yet --
173
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 CPU CONFIG WARNING! Atomic operations extension (A) only supports >lr.w< and >sc.w< instructions yet." severity warning;
174 15 zero_gravi
 
175 40 zero_gravi
  -- PMP regions check --
176 42 zero_gravi
  assert not (PMP_NUM_REGIONS > 64) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <PMP_NUM_REGIONS> out of valid range (0..64)." severity error;
177 40 zero_gravi
  -- PMP granulartiy --
178 42 zero_gravi
  assert not ((is_power_of_two_f(PMP_MIN_GRANULARITY) = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be a power of two." severity error;
179
  assert not ((PMP_MIN_GRANULARITY < 8) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be >= 8 bytes." severity error;
180 40 zero_gravi
  -- PMP notifier --
181 42 zero_gravi
  assert not (PMP_NUM_REGIONS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing physical memory protection (PMP) with " & integer'image(PMP_NUM_REGIONS) & " regions and a minimal granularity of " & integer'image(PMP_MIN_GRANULARITY) & " bytes." severity note;
182 40 zero_gravi
 
183 42 zero_gravi
  -- HPM counters check --
184
  assert not (HPM_NUM_CNTS > 29) report "NEORV32 CPU CONFIG ERROR! Number of HPM counters <HPM_NUM_CNTS> out of valid range (0..29)." severity error;
185
  -- HPM counters notifier --
186
  assert not (HPM_NUM_CNTS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing " & integer'image(HPM_NUM_CNTS) & " HPM counters." severity note;
187 41 zero_gravi
 
188 42 zero_gravi
 
189 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
190
  -- -------------------------------------------------------------------------------------------
191
  neorv32_cpu_control_inst: neorv32_cpu_control
192
  generic map (
193
    -- General --
194 40 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,  -- hardware thread id
195
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR, -- cpu boot address
196 2 zero_gravi
    -- RISC-V CPU Extensions --
197 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
198 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
199
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
200
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
201
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
202
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
203
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
204
    -- Physical memory protection (PMP) --
205 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,              -- number of regions (0..64)
206
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,          -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
207
    -- Hardware Performance Monitors (HPM) --
208
    HPM_NUM_CNTS                 => HPM_NUM_CNTS                  -- number of inmplemnted HPM counters (0..29)
209 2 zero_gravi
  )
210
  port map (
211
    -- global control --
212
    clk_i         => clk_i,       -- global clock, rising edge
213
    rstn_i        => rstn_i,      -- global reset, low-active, async
214
    ctrl_o        => ctrl,        -- main control bus
215
    -- status input --
216
    alu_wait_i    => alu_wait,    -- wait for ALU
217 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
218
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
219 2 zero_gravi
    -- data input --
220
    instr_i       => instr,       -- instruction
221
    cmp_i         => alu_cmp,     -- comparator status
222 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
223
    rs1_i         => rs1,         -- rf source 1
224 2 zero_gravi
    -- data output --
225
    imm_o         => imm,         -- immediate
226 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
227
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
228 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
229 14 zero_gravi
    -- interrupts (risc-v compliant) --
230
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
231
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
232 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
233 14 zero_gravi
    -- fast interrupts (custom) --
234
    firq_i        => firq_i,
235 11 zero_gravi
    -- system time input from MTIME --
236
    time_i        => time_i,      -- current system time
237 15 zero_gravi
    -- physical memory protection --
238
    pmp_addr_o    => pmp_addr,    -- addresses
239
    pmp_ctrl_o    => pmp_ctrl,    -- configs
240 2 zero_gravi
    -- bus access exceptions --
241
    mar_i         => mar,         -- memory address register
242
    ma_instr_i    => ma_instr,    -- misaligned instruction address
243
    ma_load_i     => ma_load,     -- misaligned load data address
244
    ma_store_i    => ma_store,    -- misaligned store data address
245
    be_instr_i    => be_instr,    -- bus error on instruction access
246
    be_load_i     => be_load,     -- bus error on load data access
247 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
248 2 zero_gravi
  );
249
 
250
 
251
  -- Register File --------------------------------------------------------------------------
252
  -- -------------------------------------------------------------------------------------------
253
  neorv32_regfile_inst: neorv32_cpu_regfile
254
  generic map (
255
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
256
  )
257
  port map (
258
    -- global control --
259
    clk_i  => clk_i,              -- global clock, rising edge
260
    ctrl_i => ctrl,               -- main control bus
261
    -- data input --
262
    mem_i  => rdata,              -- memory read data
263
    alu_i  => alu_res,            -- ALU result
264
    csr_i  => csr_rdata,          -- CSR read data
265
    -- data output --
266
    rs1_o  => rs1,                -- operand 1
267
    rs2_o  => rs2                 -- operand 2
268
  );
269
 
270
 
271
  -- ALU ------------------------------------------------------------------------------------
272
  -- -------------------------------------------------------------------------------------------
273
  neorv32_cpu_alu_inst: neorv32_cpu_alu
274 11 zero_gravi
  generic map (
275 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
276
    FAST_SHIFT_EN         => FAST_SHIFT_EN          -- use barrel shifter for shift operations
277 11 zero_gravi
  )
278 2 zero_gravi
  port map (
279
    -- global control --
280
    clk_i       => clk_i,         -- global clock, rising edge
281
    rstn_i      => rstn_i,        -- global reset, low-active, async
282
    ctrl_i      => ctrl,          -- main control bus
283
    -- data input --
284
    rs1_i       => rs1,           -- rf source 1
285
    rs2_i       => rs2,           -- rf source 2
286 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
287 2 zero_gravi
    imm_i       => imm,           -- immediate
288
    -- data output --
289
    cmp_o       => alu_cmp,       -- comparator status
290
    res_o       => alu_res,       -- ALU result
291 36 zero_gravi
    add_o       => alu_add,       -- address computation result
292
    opb_o       => alu_opb,       -- ALU operand B
293 2 zero_gravi
    -- co-processor interface --
294 19 zero_gravi
    cp0_start_o => cp0_start,     -- trigger co-processor 0
295 2 zero_gravi
    cp0_data_i  => cp0_data,      -- co-processor 0 result
296
    cp0_valid_i => cp0_valid,     -- co-processor 0 result valid
297 19 zero_gravi
    cp1_start_o => cp1_start,     -- trigger co-processor 1
298 2 zero_gravi
    cp1_data_i  => cp1_data,      -- co-processor 1 result
299
    cp1_valid_i => cp1_valid,     -- co-processor 1 result valid
300 36 zero_gravi
    cp2_start_o => cp2_start,     -- trigger co-processor 2
301
    cp2_data_i  => cp2_data,      -- co-processor 2 result
302
    cp2_valid_i => cp2_valid,     -- co-processor 2 result valid
303
    cp3_start_o => cp3_start,     -- trigger co-processor 3
304
    cp3_data_i  => cp3_data,      -- co-processor 3 result
305
    cp3_valid_i => cp3_valid,     -- co-processor 3 result valid
306 2 zero_gravi
    -- status --
307
    wait_o      => alu_wait       -- busy due to iterative processing units
308
  );
309
 
310
 
311
  -- Co-Processor 0: MULDIV Unit ------------------------------------------------------------
312
  -- -------------------------------------------------------------------------------------------
313
  neorv32_cpu_cp_muldiv_inst_true:
314
  if (CPU_EXTENSION_RISCV_M = true) generate
315
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
316 19 zero_gravi
    generic map (
317 38 zero_gravi
      FAST_MUL_EN => FAST_MUL_EN  -- use DSPs for faster multiplication
318 19 zero_gravi
    )
319 2 zero_gravi
    port map (
320
      -- global control --
321
      clk_i   => clk_i,           -- global clock, rising edge
322
      rstn_i  => rstn_i,          -- global reset, low-active, async
323
      ctrl_i  => ctrl,            -- main control bus
324 36 zero_gravi
      start_i => cp0_start,       -- trigger operation
325 2 zero_gravi
      -- data input --
326 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
327
      rs2_i   => rs2,             -- rf source 2
328 2 zero_gravi
      -- result and status --
329
      res_o   => cp0_data,        -- operation result
330
      valid_o => cp0_valid        -- data output valid
331
    );
332
  end generate;
333
 
334
  neorv32_cpu_cp_muldiv_inst_false:
335
  if (CPU_EXTENSION_RISCV_M = false) generate
336
    cp0_data  <= (others => '0');
337 40 zero_gravi
    cp0_valid <= cp0_start; -- to make sure CPU does not get stalled if there is an accidental access
338 2 zero_gravi
  end generate;
339
 
340
 
341 39 zero_gravi
  -- Co-Processor 1: Atomic Memory Access (SC - store-conditional) --------------------------
342 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
343 40 zero_gravi
  atomic_op_cp: process(cp1_start, ctrl)
344 39 zero_gravi
  begin
345
    -- "fake" co-processor for atomic operations
346
    -- used to get the result of a store-conditional operation into the data path
347 40 zero_gravi
    if (CPU_EXTENSION_RISCV_A = true) then
348
      if (cp1_start = '1') then
349
        cp1_data    <= (others => '0');
350
        cp1_data(0) <= not ctrl(ctrl_bus_lock_c);
351
        cp1_valid   <= '1';
352
      else
353
        cp1_data  <= (others => '0');
354
        cp1_valid <= '0';
355
      end if;
356 39 zero_gravi
    else
357
      cp1_data  <= (others => '0');
358 40 zero_gravi
      cp1_valid <= cp1_start; -- to make sure CPU does not get stalled if there is an accidental access
359 39 zero_gravi
    end if;
360 40 zero_gravi
  end process atomic_op_cp;
361 2 zero_gravi
 
362
 
363 38 zero_gravi
  -- Co-Processor 2: Not implemented (yet) --------------------------------------------------
364 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
365
  -- control: ctrl cp2_start
366
  -- inputs:  rs1 rs2 alu_cmp alu_opb
367
  cp2_data  <= (others => '0');
368 40 zero_gravi
  cp2_valid <= cp2_start; -- to make sure CPU does not get stalled if there is an accidental access
369 36 zero_gravi
 
370
 
371 38 zero_gravi
  -- Co-Processor 3: Not implemented (yet) --------------------------------------------------
372 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
373
  -- control: ctrl cp3_start
374
  -- inputs:  rs1 rs2 alu_cmp alu_opb
375
  cp3_data  <= (others => '0');
376 40 zero_gravi
  cp3_valid <= cp3_start; -- to make sure CPU does not get stalled if there is an accidental access
377 36 zero_gravi
 
378
 
379 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
380 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
381
  neorv32_cpu_bus_inst: neorv32_cpu_bus
382
  generic map (
383 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
384 15 zero_gravi
    -- Physical memory protection (PMP) --
385 42 zero_gravi
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS,       -- number of regions (0..64)
386
    PMP_MIN_GRANULARITY   => PMP_MIN_GRANULARITY,   -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
387 41 zero_gravi
    -- Bus Timeout --
388
    BUS_TIMEOUT           => BUS_TIMEOUT            -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
389 2 zero_gravi
  )
390
  port map (
391
    -- global control --
392 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
393 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
394 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
395
    -- cpu instruction fetch interface --
396
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
397
    instr_o        => instr,          -- instruction
398
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
399
    --
400
    ma_instr_o     => ma_instr,       -- misaligned instruction address
401
    be_instr_o     => be_instr,       -- bus error on instruction access
402
    -- cpu data access interface --
403 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
404 12 zero_gravi
    wdata_i        => rs2,            -- write data
405
    rdata_o        => rdata,          -- read data
406
    mar_o          => mar,            -- current memory address register
407
    d_wait_o       => bus_d_wait,     -- wait for access to complete
408
    --
409
    ma_load_o      => ma_load,        -- misaligned load data address
410
    ma_store_o     => ma_store,       -- misaligned store data address
411
    be_load_o      => be_load,        -- bus error on load data access
412
    be_store_o     => be_store,       -- bus error on store data access
413 15 zero_gravi
    -- physical memory protection --
414
    pmp_addr_i     => pmp_addr,       -- addresses
415
    pmp_ctrl_i     => pmp_ctrl,       -- configs
416 12 zero_gravi
    -- instruction bus --
417
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
418
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
419
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
420
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
421
    i_bus_we_o     => i_bus_we_o,     -- write enable
422
    i_bus_re_o     => i_bus_re_o,     -- read enable
423
    i_bus_cancel_o => i_bus_cancel_o, -- cancel current bus transaction
424
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
425
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
426
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
427 39 zero_gravi
    i_bus_lock_o   => i_bus_lock_o,   -- locked/exclusive access
428 12 zero_gravi
    -- data bus --
429
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
430
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
431
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
432
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
433
    d_bus_we_o     => d_bus_we_o,     -- write enable
434
    d_bus_re_o     => d_bus_re_o,     -- read enable
435
    d_bus_cancel_o => d_bus_cancel_o, -- cancel current bus transaction
436
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
437
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
438 39 zero_gravi
    d_bus_fence_o  => d_bus_fence_o,  -- fence operation
439
    d_bus_lock_o   => d_bus_lock_o    -- locked/exclusive access
440 2 zero_gravi
  );
441
 
442 35 zero_gravi
  -- current privilege level --
443 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
444
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
445 2 zero_gravi
 
446 35 zero_gravi
 
447 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.