OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 53

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 47 zero_gravi
-- # * neorv32_cpu.vhd                   - CPU top entity                                          #
6
-- #   * neorv32_cpu_alu.vhd             - Arithmetic/logic unit                                   #
7
-- #   * neorv32_cpu_bus.vhd             - Instruction and data bus interface unit                 #
8 52 zero_gravi
-- #   * neorv32_cpu_cp_bitmanip.vhd     - Bit-manipulation co-processor ('B')                     #
9 53 zero_gravi
-- #   * neorv32_cpu_cp_fpu.vhd          - Single-precision FPU co-processor ('Zfinx')             #
10 52 zero_gravi
-- #   * neorv32_cpu_cp_muldiv.vhd       - Integer multiplier/divider co-processor ('M')           #
11 47 zero_gravi
-- #   * neorv32_cpu_ctrl.vhd            - CPU control and CSR system                              #
12
-- #     * neorv32_cpu_decompressor.vhd  - Compressed instructions decoder                         #
13
-- #   * neorv32_cpu_regfile.vhd         - Data register file                                      #
14
-- # * neorv32_package.vhd               - Main CPU/processor package file                         #
15 38 zero_gravi
-- #                                                                                               #
16 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
17 2 zero_gravi
-- # ********************************************************************************************* #
18
-- # BSD 3-Clause License                                                                          #
19
-- #                                                                                               #
20 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
21 2 zero_gravi
-- #                                                                                               #
22
-- # Redistribution and use in source and binary forms, with or without modification, are          #
23
-- # permitted provided that the following conditions are met:                                     #
24
-- #                                                                                               #
25
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
26
-- #    conditions and the following disclaimer.                                                   #
27
-- #                                                                                               #
28
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
29
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
30
-- #    provided with the distribution.                                                            #
31
-- #                                                                                               #
32
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
33
-- #    endorse or promote products derived from this software without specific prior written      #
34
-- #    permission.                                                                                #
35
-- #                                                                                               #
36
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
37
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
38
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
39
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
40
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
41
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
42
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
43
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
44
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
45
-- # ********************************************************************************************* #
46
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
47
-- #################################################################################################
48
 
49
library ieee;
50
use ieee.std_logic_1164.all;
51
use ieee.numeric_std.all;
52
 
53
library neorv32;
54
use neorv32.neorv32_package.all;
55
 
56
entity neorv32_cpu is
57
  generic (
58
    -- General --
59 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
60
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
61 41 zero_gravi
    BUS_TIMEOUT                  : natural := 63;    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
62 2 zero_gravi
    -- RISC-V CPU Extensions --
63 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
64 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
65 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
66
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
67
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
68 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
69 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
70 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
71 52 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
72 19 zero_gravi
    -- Extension Options --
73
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
74 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
75 15 zero_gravi
    -- Physical Memory Protection (PMP) --
76 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0; -- number of regions (0..64)
77
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
78
    -- Hardware Performance Monitors (HPM) --
79 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0      -- number of implemented HPM counters (0..29)
80 2 zero_gravi
  );
81
  port (
82
    -- global control --
83 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
84
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
85 47 zero_gravi
    sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
86 12 zero_gravi
    -- instruction bus interface --
87
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
88 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
89 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
90
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
91
    i_bus_we_o     : out std_ulogic; -- write enable
92
    i_bus_re_o     : out std_ulogic; -- read enable
93
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
94 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
95
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
96 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
97 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
98 12 zero_gravi
    -- data bus interface --
99
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
100 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
101 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
102
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
103
    d_bus_we_o     : out std_ulogic; -- write enable
104
    d_bus_re_o     : out std_ulogic; -- read enable
105
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
106 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
107
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
108 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
109 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
110 53 zero_gravi
    d_bus_excl_o   : out std_ulogic; -- exclusive access request
111
    d_bus_excl_i   : in  std_ulogic; -- state of exclusiv access (set if success)
112 11 zero_gravi
    -- system time input from MTIME --
113 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
114
    -- interrupts (risc-v compliant) --
115
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
116
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
117
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
118
    -- fast interrupts (custom) --
119 48 zero_gravi
    firq_i         : in  std_ulogic_vector(15 downto 0) := (others => '0');
120
    firq_ack_o     : out std_ulogic_vector(15 downto 0)
121 2 zero_gravi
  );
122
end neorv32_cpu;
123
 
124
architecture neorv32_cpu_rtl of neorv32_cpu is
125
 
126
  -- local signals --
127 53 zero_gravi
  signal ctrl        : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
128
  signal comparator  : std_ulogic_vector(1 downto 0); -- comparator result
129
  signal imm         : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
130
  signal instr       : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
131
  signal rs1, rs2    : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
132
  signal alu_res     : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
133
  signal alu_add     : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
134
  signal mem_rdata   : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
135
  signal alu_wait    : std_ulogic; -- alu is busy due to iterative unit
136
  signal bus_i_wait  : std_ulogic; -- wait for current bus instruction fetch
137
  signal bus_d_wait  : std_ulogic; -- wait for current bus data access
138
  signal csr_rdata   : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
139
  signal mar         : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
140
  signal ma_instr    : std_ulogic; -- misaligned instruction address
141
  signal ma_load     : std_ulogic; -- misaligned load data address
142
  signal ma_store    : std_ulogic; -- misaligned store data address
143
  signal bus_excl_ok : std_ulogic; -- atomic memory access successful
144
  signal be_instr    : std_ulogic; -- bus error on instruction access
145
  signal be_load     : std_ulogic; -- bus error on load data access
146
  signal be_store    : std_ulogic; -- bus error on store data access
147
  signal fetch_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
148
  signal curr_pc     : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
149
  signal fpu_rm      : std_ulogic_vector(2 downto 0); -- FPU rounding mode
150
  signal fpu_flags   : std_ulogic_vector(4 downto 0); -- FPU exception flags
151 2 zero_gravi
 
152
  -- co-processor interface --
153 49 zero_gravi
  signal cp_start  : std_ulogic_vector(7 downto 0); -- trigger co-processor i
154
  signal cp_valid  : std_ulogic_vector(7 downto 0); -- co-processor i done
155
  signal cp_result : cp_data_if_t; -- co-processor result
156 2 zero_gravi
 
157 15 zero_gravi
  -- pmp interface --
158
  signal pmp_addr  : pmp_addr_if_t;
159
  signal pmp_ctrl  : pmp_ctrl_if_t;
160
 
161 47 zero_gravi
  -- atomic memory access - success? --
162 53 zero_gravi
  signal atomic_sc_res    : std_ulogic;
163
  signal atomic_sc_res_ff : std_ulogic;
164
  signal atomic_sc_val    : std_ulogic;
165 47 zero_gravi
 
166 2 zero_gravi
begin
167
 
168 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
169
  -- -------------------------------------------------------------------------------------------
170 23 zero_gravi
  -- CSR system --
171 41 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
172 23 zero_gravi
  -- U-extension requires Zicsr extension --
173
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
174
  -- PMP requires Zicsr extension --
175 42 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
176 40 zero_gravi
 
177 41 zero_gravi
  -- Bus timeout --
178
  assert not (BUS_TIMEOUT < 2) report "NEORV32 CPU CONFIG ERROR! Invalid bus access timeout value <BUS_TIMEOUT>. Has to be >= 2." severity error;
179
 
180 38 zero_gravi
  -- Instruction prefetch buffer size --
181
  assert not (is_power_of_two_f(ipb_entries_c) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <ipb_entries_c> has to be a power of two." severity error;
182 45 zero_gravi
  -- A extension - only lr.w and sc.w are supported yet --
183
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 CPU CONFIG WARNING! Atomic operations extension (A) only supports <lr.w> and <sc.w> instructions." severity warning;
184 15 zero_gravi
 
185 52 zero_gravi
  -- FIXME: Bit manipulation warning --
186
  assert not (CPU_EXTENSION_RISCV_B = true) report "NEORV32 CPU CONFIG WARNING! Bit manipulation extension (B) is still HIGHLY EXPERIMENTAL (and spec. is not ratified yet)." severity warning;
187 44 zero_gravi
 
188 53 zero_gravi
  -- FIXME: Floating-point extension (Zfinx) warning --
189
  assert not (CPU_EXTENSION_RISCV_Zfinx = true) report "NEORV32 CPU CONFIG WARNING! 32-bit floating-point extension (F/Zfinx) is WORK-IN-PROGRESS and NOT OPERATIONAL yet." severity warning;
190 52 zero_gravi
 
191 40 zero_gravi
  -- PMP regions check --
192 53 zero_gravi
  assert not (PMP_NUM_REGIONS > 64) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <PMP_NUM_REGIONS> out xf valid range (0..64)." severity error;
193 40 zero_gravi
  -- PMP granulartiy --
194 42 zero_gravi
  assert not ((is_power_of_two_f(PMP_MIN_GRANULARITY) = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be a power of two." severity error;
195
  assert not ((PMP_MIN_GRANULARITY < 8) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! PMP granulartiy has to be >= 8 bytes." severity error;
196 40 zero_gravi
  -- PMP notifier --
197 42 zero_gravi
  assert not (PMP_NUM_REGIONS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing physical memory protection (PMP) with " & integer'image(PMP_NUM_REGIONS) & " regions and a minimal granularity of " & integer'image(PMP_MIN_GRANULARITY) & " bytes." severity note;
198 40 zero_gravi
 
199 42 zero_gravi
  -- HPM counters check --
200
  assert not (HPM_NUM_CNTS > 29) report "NEORV32 CPU CONFIG ERROR! Number of HPM counters <HPM_NUM_CNTS> out of valid range (0..29)." severity error;
201
  -- HPM counters notifier --
202
  assert not (HPM_NUM_CNTS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing " & integer'image(HPM_NUM_CNTS) & " HPM counters." severity note;
203 44 zero_gravi
  -- HPM CNT requires Zicsr extension --
204
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (HPM_NUM_CNTS > 0)) report "NEORV32 CPU CONFIG ERROR! Performance monitors (HMP) require CPU_EXTENSION_RISCV_Zicsr extension." severity error;
205 41 zero_gravi
 
206 42 zero_gravi
 
207 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
208
  -- -------------------------------------------------------------------------------------------
209
  neorv32_cpu_control_inst: neorv32_cpu_control
210
  generic map (
211
    -- General --
212 40 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,  -- hardware thread id
213
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR, -- cpu boot address
214 2 zero_gravi
    -- RISC-V CPU Extensions --
215 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
216 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
217 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
218
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
219
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
220 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
221 15 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
222
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
223
    -- Physical memory protection (PMP) --
224 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,              -- number of regions (0..64)
225
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,          -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
226
    -- Hardware Performance Monitors (HPM) --
227 47 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS                  -- number of implemented HPM counters (0..29)
228 2 zero_gravi
  )
229
  port map (
230
    -- global control --
231
    clk_i         => clk_i,       -- global clock, rising edge
232
    rstn_i        => rstn_i,      -- global reset, low-active, async
233
    ctrl_o        => ctrl,        -- main control bus
234
    -- status input --
235
    alu_wait_i    => alu_wait,    -- wait for ALU
236 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
237
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
238 2 zero_gravi
    -- data input --
239
    instr_i       => instr,       -- instruction
240 47 zero_gravi
    cmp_i         => comparator,  -- comparator status
241 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
242
    rs1_i         => rs1,         -- rf source 1
243 2 zero_gravi
    -- data output --
244
    imm_o         => imm,         -- immediate
245 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
246
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
247 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
248 52 zero_gravi
    -- FPU interface --
249
    fpu_rm_o      => fpu_rm,      -- rounding mode
250
    fpu_flags_i   => fpu_flags,   -- exception flags
251 14 zero_gravi
    -- interrupts (risc-v compliant) --
252
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
253
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
254 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
255 14 zero_gravi
    -- fast interrupts (custom) --
256 47 zero_gravi
    firq_i        => firq_i,      -- fast interrupt trigger
257
    firq_ack_o    => firq_ack_o,  -- fast interrupt acknowledge mask
258 11 zero_gravi
    -- system time input from MTIME --
259
    time_i        => time_i,      -- current system time
260 15 zero_gravi
    -- physical memory protection --
261
    pmp_addr_o    => pmp_addr,    -- addresses
262
    pmp_ctrl_o    => pmp_ctrl,    -- configs
263 2 zero_gravi
    -- bus access exceptions --
264
    mar_i         => mar,         -- memory address register
265
    ma_instr_i    => ma_instr,    -- misaligned instruction address
266
    ma_load_i     => ma_load,     -- misaligned load data address
267
    ma_store_i    => ma_store,    -- misaligned store data address
268
    be_instr_i    => be_instr,    -- bus error on instruction access
269
    be_load_i     => be_load,     -- bus error on load data access
270 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
271 2 zero_gravi
  );
272
 
273 47 zero_gravi
  -- CPU is sleeping? --
274
  sleep_o <= ctrl(ctrl_sleep_c); -- set when CPU is sleeping (after WFI)
275 2 zero_gravi
 
276 47 zero_gravi
 
277 2 zero_gravi
  -- Register File --------------------------------------------------------------------------
278
  -- -------------------------------------------------------------------------------------------
279 45 zero_gravi
  neorv32_cpu_regfile_inst: neorv32_cpu_regfile
280 2 zero_gravi
  generic map (
281
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
282
  )
283
  port map (
284
    -- global control --
285
    clk_i  => clk_i,              -- global clock, rising edge
286
    ctrl_i => ctrl,               -- main control bus
287
    -- data input --
288 52 zero_gravi
    mem_i  => mem_rdata,          -- memory read data
289 2 zero_gravi
    alu_i  => alu_res,            -- ALU result
290
    -- data output --
291
    rs1_o  => rs1,                -- operand 1
292 47 zero_gravi
    rs2_o  => rs2,                -- operand 2
293
    cmp_o  => comparator          -- comparator status
294 2 zero_gravi
  );
295
 
296
 
297
  -- ALU ------------------------------------------------------------------------------------
298
  -- -------------------------------------------------------------------------------------------
299
  neorv32_cpu_alu_inst: neorv32_cpu_alu
300 11 zero_gravi
  generic map (
301 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
302
    FAST_SHIFT_EN         => FAST_SHIFT_EN          -- use barrel shifter for shift operations
303 11 zero_gravi
  )
304 2 zero_gravi
  port map (
305
    -- global control --
306
    clk_i       => clk_i,         -- global clock, rising edge
307
    rstn_i      => rstn_i,        -- global reset, low-active, async
308
    ctrl_i      => ctrl,          -- main control bus
309
    -- data input --
310
    rs1_i       => rs1,           -- rf source 1
311
    rs2_i       => rs2,           -- rf source 2
312 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
313 2 zero_gravi
    imm_i       => imm,           -- immediate
314
    -- data output --
315
    res_o       => alu_res,       -- ALU result
316 36 zero_gravi
    add_o       => alu_add,       -- address computation result
317 2 zero_gravi
    -- co-processor interface --
318 49 zero_gravi
    cp_start_o  => cp_start,      -- trigger co-processor i
319
    cp_valid_i  => cp_valid,      -- co-processor i done
320
    cp_result_i => cp_result,     -- co-processor result
321 2 zero_gravi
    -- status --
322
    wait_o      => alu_wait       -- busy due to iterative processing units
323
  );
324
 
325
 
326 47 zero_gravi
  -- Co-Processor 0: Integer Multiplication/Division ('M' Extension) ------------------------
327 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
328
  neorv32_cpu_cp_muldiv_inst_true:
329
  if (CPU_EXTENSION_RISCV_M = true) generate
330
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
331 19 zero_gravi
    generic map (
332 38 zero_gravi
      FAST_MUL_EN => FAST_MUL_EN  -- use DSPs for faster multiplication
333 19 zero_gravi
    )
334 2 zero_gravi
    port map (
335
      -- global control --
336
      clk_i   => clk_i,           -- global clock, rising edge
337
      rstn_i  => rstn_i,          -- global reset, low-active, async
338
      ctrl_i  => ctrl,            -- main control bus
339 49 zero_gravi
      start_i => cp_start(0),     -- trigger operation
340 2 zero_gravi
      -- data input --
341 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
342
      rs2_i   => rs2,             -- rf source 2
343 2 zero_gravi
      -- result and status --
344 49 zero_gravi
      res_o   => cp_result(0),    -- operation result
345
      valid_o => cp_valid(0)      -- data output valid
346 2 zero_gravi
    );
347
  end generate;
348
 
349
  neorv32_cpu_cp_muldiv_inst_false:
350
  if (CPU_EXTENSION_RISCV_M = false) generate
351 49 zero_gravi
    cp_result(0) <= (others => '0');
352
    cp_valid(0)  <= cp_start(0); -- to make sure CPU does not get stalled if there is an accidental access
353 2 zero_gravi
  end generate;
354
 
355
 
356 47 zero_gravi
  -- Co-Processor 1: Atomic Memory Access ('A' Extension) -----------------------------------
357 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
358 47 zero_gravi
  -- "pseudo" co-processor for atomic operations
359 49 zero_gravi
  -- required to get the result of a store-conditional operation into the data path
360 47 zero_gravi
  atomic_op_cp: process(clk_i)
361 39 zero_gravi
  begin
362 47 zero_gravi
    if rising_edge(clk_i) then
363 53 zero_gravi
      atomic_sc_val <= cp_start(1);
364
      atomic_sc_res <= bus_excl_ok;
365
      if (atomic_sc_val = '1') then
366
        atomic_sc_res_ff <= not atomic_sc_res;
367 40 zero_gravi
      else
368 53 zero_gravi
        atomic_sc_res_ff <= '0';
369 40 zero_gravi
      end if;
370 39 zero_gravi
    end if;
371 40 zero_gravi
  end process atomic_op_cp;
372 2 zero_gravi
 
373 47 zero_gravi
  -- CP result --
374 49 zero_gravi
  cp_result(1)(data_width_c-1 downto 1) <= (others => '0');
375 53 zero_gravi
  cp_result(1)(0) <= atomic_sc_res_ff when (CPU_EXTENSION_RISCV_A = true) else '0';
376
  cp_valid(1)     <= atomic_sc_val    when (CPU_EXTENSION_RISCV_A = true) else cp_start(1); -- assigned even if A extension is disabled so CPU does not get stalled on accidental access
377 2 zero_gravi
 
378 47 zero_gravi
 
379
  -- Co-Processor 2: Bit Manipulation ('B' Extension) ---------------------------------------
380 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
381 44 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_true:
382
  if (CPU_EXTENSION_RISCV_B = true) generate
383
    neorv32_cpu_cp_bitmanip_inst: neorv32_cpu_cp_bitmanip
384
    port map (
385
      -- global control --
386
      clk_i   => clk_i,           -- global clock, rising edge
387
      rstn_i  => rstn_i,          -- global reset, low-active, async
388
      ctrl_i  => ctrl,            -- main control bus
389 49 zero_gravi
      start_i => cp_start(2),     -- trigger operation
390 44 zero_gravi
      -- data input --
391 47 zero_gravi
      cmp_i   => comparator,      -- comparator status
392 44 zero_gravi
      rs1_i   => rs1,             -- rf source 1
393
      rs2_i   => rs2,             -- rf source 2
394
      -- result and status --
395 49 zero_gravi
      res_o   => cp_result(2),    -- operation result
396
      valid_o => cp_valid(2)      -- data output valid
397 44 zero_gravi
    );
398
  end generate;
399 36 zero_gravi
 
400 44 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_false:
401
  if (CPU_EXTENSION_RISCV_B = false) generate
402 49 zero_gravi
    cp_result(2) <= (others => '0');
403
    cp_valid(2)  <= cp_start(2); -- to make sure CPU does not get stalled if there is an accidental access
404 44 zero_gravi
  end generate;
405 36 zero_gravi
 
406 44 zero_gravi
 
407 49 zero_gravi
  -- Co-Processor 3: CSR (Read) Access ('Zicsr' Extension) ----------------------------------
408 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
409 49 zero_gravi
  -- "pseudo" co-processor for CSR *read* access operations
410
  -- required to get the CSR read data into the data path
411
  cp_result(3) <= csr_rdata when (CPU_EXTENSION_RISCV_Zicsr = true) else (others => '0');
412
  cp_valid(3)  <= cp_start(3); -- always assigned even if Zicsr extension is disabled to make sure CPU does not get stalled if there is an accidental access
413 36 zero_gravi
 
414
 
415 53 zero_gravi
  -- Co-Processor 4: Single-Precision Floating-Point Unit ('Zfinx' Extension) ---------------
416 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
417 52 zero_gravi
  neorv32_cpu_cp_fpu_inst_true:
418 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = true) generate
419 52 zero_gravi
    neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu
420
    port map (
421
      -- global control --
422 53 zero_gravi
      clk_i    => clk_i,        -- global clock, rising edge
423
      rstn_i   => rstn_i,       -- global reset, low-active, async
424
      ctrl_i   => ctrl,         -- main control bus
425
      start_i  => cp_start(4),  -- trigger operation
426 52 zero_gravi
      -- data input --
427 53 zero_gravi
      frm_i    => fpu_rm,       -- rounding mode
428
      rs1_i    => rs1,          -- rf source 1
429
      rs2_i    => rs2,          -- rf source 2
430 52 zero_gravi
      -- result and status --
431 53 zero_gravi
      res_o    => cp_result(4), -- operation result
432
      fflags_o => fpu_flags,    -- exception flags
433
      valid_o  => cp_valid(4)   -- data output valid
434 52 zero_gravi
    );
435
  end generate;
436
 
437
  neorv32_cpu_cp_fpu_inst_false:
438 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = false) generate
439
    cp_result(4) <= (others => '0');
440
    fpu_flags    <= (others => '0');
441
    cp_valid(4)  <= cp_start(4); -- to make sure CPU does not get stalled if there is an accidental access
442 52 zero_gravi
  end generate;
443
 
444
 
445
  -- Co-Processor 5..7: Not Implemented Yet -------------------------------------------------
446
  -- -------------------------------------------------------------------------------------------
447 49 zero_gravi
  cp_result(5) <= (others => '0');
448
  cp_valid(5)  <= '0';
449
  --
450
  cp_result(6) <= (others => '0');
451
  cp_valid(6)  <= '0';
452
  --
453
  cp_result(7) <= (others => '0');
454
  cp_valid(7)  <= '0';
455
 
456
 
457 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
458 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
459
  neorv32_cpu_bus_inst: neorv32_cpu_bus
460
  generic map (
461 53 zero_gravi
    CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension?
462 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
463 15 zero_gravi
    -- Physical memory protection (PMP) --
464 42 zero_gravi
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS,       -- number of regions (0..64)
465
    PMP_MIN_GRANULARITY   => PMP_MIN_GRANULARITY,   -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
466 41 zero_gravi
    -- Bus Timeout --
467
    BUS_TIMEOUT           => BUS_TIMEOUT            -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
468 2 zero_gravi
  )
469
  port map (
470
    -- global control --
471 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
472 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
473 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
474
    -- cpu instruction fetch interface --
475
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
476
    instr_o        => instr,          -- instruction
477
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
478
    --
479
    ma_instr_o     => ma_instr,       -- misaligned instruction address
480
    be_instr_o     => be_instr,       -- bus error on instruction access
481
    -- cpu data access interface --
482 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
483 53 zero_gravi
    wdata_i        => rs2,            -- write data
484 52 zero_gravi
    rdata_o        => mem_rdata,      -- read data
485 12 zero_gravi
    mar_o          => mar,            -- current memory address register
486
    d_wait_o       => bus_d_wait,     -- wait for access to complete
487
    --
488 53 zero_gravi
    bus_excl_ok_o  => bus_excl_ok,    -- bus exclusive access successful
489 12 zero_gravi
    ma_load_o      => ma_load,        -- misaligned load data address
490
    ma_store_o     => ma_store,       -- misaligned store data address
491
    be_load_o      => be_load,        -- bus error on load data access
492
    be_store_o     => be_store,       -- bus error on store data access
493 15 zero_gravi
    -- physical memory protection --
494
    pmp_addr_i     => pmp_addr,       -- addresses
495
    pmp_ctrl_i     => pmp_ctrl,       -- configs
496 12 zero_gravi
    -- instruction bus --
497
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
498
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
499
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
500
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
501
    i_bus_we_o     => i_bus_we_o,     -- write enable
502
    i_bus_re_o     => i_bus_re_o,     -- read enable
503
    i_bus_cancel_o => i_bus_cancel_o, -- cancel current bus transaction
504
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
505
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
506
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
507
    -- data bus --
508
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
509
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
510
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
511
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
512
    d_bus_we_o     => d_bus_we_o,     -- write enable
513
    d_bus_re_o     => d_bus_re_o,     -- read enable
514
    d_bus_cancel_o => d_bus_cancel_o, -- cancel current bus transaction
515
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
516
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
517 39 zero_gravi
    d_bus_fence_o  => d_bus_fence_o,  -- fence operation
518 53 zero_gravi
    d_bus_excl_o   => d_bus_excl_o,   -- exclusive access request
519
    d_bus_excl_i   => d_bus_excl_i    -- state of exclusiv access (set if success)
520 2 zero_gravi
  );
521
 
522 35 zero_gravi
  -- current privilege level --
523 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
524
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
525 2 zero_gravi
 
526 35 zero_gravi
 
527 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.