OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 58

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 47 zero_gravi
-- # * neorv32_cpu.vhd                   - CPU top entity                                          #
6
-- #   * neorv32_cpu_alu.vhd             - Arithmetic/logic unit                                   #
7
-- #   * neorv32_cpu_bus.vhd             - Instruction and data bus interface unit                 #
8 52 zero_gravi
-- #   * neorv32_cpu_cp_bitmanip.vhd     - Bit-manipulation co-processor ('B')                     #
9 53 zero_gravi
-- #   * neorv32_cpu_cp_fpu.vhd          - Single-precision FPU co-processor ('Zfinx')             #
10 52 zero_gravi
-- #   * neorv32_cpu_cp_muldiv.vhd       - Integer multiplier/divider co-processor ('M')           #
11 47 zero_gravi
-- #   * neorv32_cpu_ctrl.vhd            - CPU control and CSR system                              #
12
-- #     * neorv32_cpu_decompressor.vhd  - Compressed instructions decoder                         #
13
-- #   * neorv32_cpu_regfile.vhd         - Data register file                                      #
14 56 zero_gravi
-- # * neorv32_package.vhd               - Main CPU & Processor package file                       #
15 38 zero_gravi
-- #                                                                                               #
16 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
17 2 zero_gravi
-- # ********************************************************************************************* #
18
-- # BSD 3-Clause License                                                                          #
19
-- #                                                                                               #
20 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
21 2 zero_gravi
-- #                                                                                               #
22
-- # Redistribution and use in source and binary forms, with or without modification, are          #
23
-- # permitted provided that the following conditions are met:                                     #
24
-- #                                                                                               #
25
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
26
-- #    conditions and the following disclaimer.                                                   #
27
-- #                                                                                               #
28
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
29
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
30
-- #    provided with the distribution.                                                            #
31
-- #                                                                                               #
32
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
33
-- #    endorse or promote products derived from this software without specific prior written      #
34
-- #    permission.                                                                                #
35
-- #                                                                                               #
36
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
37
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
38
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
39
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
40
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
41
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
42
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
43
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
44
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
45
-- # ********************************************************************************************* #
46
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
47
-- #################################################################################################
48
 
49
library ieee;
50
use ieee.std_logic_1164.all;
51
use ieee.numeric_std.all;
52
 
53
library neorv32;
54
use neorv32.neorv32_package.all;
55
 
56
entity neorv32_cpu is
57
  generic (
58
    -- General --
59 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
60
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
61 2 zero_gravi
    -- RISC-V CPU Extensions --
62 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
63 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
64 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
65
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
66
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
67 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
68 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
69 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
70 52 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
71 19 zero_gravi
    -- Extension Options --
72
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
73 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
74 56 zero_gravi
    TINY_SHIFT_EN                : boolean := false; -- use tiny (single-bit) shifter for shift operations
75
    CPU_CNT_WIDTH                : natural := 64;    -- total width of CPU cycle and instret counters (0..64)
76 15 zero_gravi
    -- Physical Memory Protection (PMP) --
77 55 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
78 42 zero_gravi
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
79
    -- Hardware Performance Monitors (HPM) --
80 56 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;     -- number of implemented HPM counters (0..29)
81
    HPM_CNT_WIDTH                : natural := 40     -- total size of HPM counters (1..64)
82 2 zero_gravi
  );
83
  port (
84
    -- global control --
85 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
86
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
87 47 zero_gravi
    sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
88 12 zero_gravi
    -- instruction bus interface --
89
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
90 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
91 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
92
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
93
    i_bus_we_o     : out std_ulogic; -- write enable
94
    i_bus_re_o     : out std_ulogic; -- read enable
95 57 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- exclusive access request
96 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
97
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
98 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
99 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
100 12 zero_gravi
    -- data bus interface --
101
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
102 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
103 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
104
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
105
    d_bus_we_o     : out std_ulogic; -- write enable
106
    d_bus_re_o     : out std_ulogic; -- read enable
107 57 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- exclusive access request
108 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
109
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
110 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
111 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
112 11 zero_gravi
    -- system time input from MTIME --
113 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
114 58 zero_gravi
    -- non-maskable interrupt --
115
    nm_irq_i       : in  std_ulogic := '0'; -- NMI
116 14 zero_gravi
    -- interrupts (risc-v compliant) --
117
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
118
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
119
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
120
    -- fast interrupts (custom) --
121 48 zero_gravi
    firq_i         : in  std_ulogic_vector(15 downto 0) := (others => '0');
122
    firq_ack_o     : out std_ulogic_vector(15 downto 0)
123 2 zero_gravi
  );
124
end neorv32_cpu;
125
 
126
architecture neorv32_cpu_rtl of neorv32_cpu is
127
 
128
  -- local signals --
129 53 zero_gravi
  signal ctrl        : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
130
  signal comparator  : std_ulogic_vector(1 downto 0); -- comparator result
131
  signal imm         : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
132
  signal instr       : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
133
  signal rs1, rs2    : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
134
  signal alu_res     : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
135
  signal alu_add     : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
136
  signal mem_rdata   : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
137
  signal alu_wait    : std_ulogic; -- alu is busy due to iterative unit
138
  signal bus_i_wait  : std_ulogic; -- wait for current bus instruction fetch
139
  signal bus_d_wait  : std_ulogic; -- wait for current bus data access
140
  signal csr_rdata   : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
141
  signal mar         : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
142
  signal ma_instr    : std_ulogic; -- misaligned instruction address
143
  signal ma_load     : std_ulogic; -- misaligned load data address
144
  signal ma_store    : std_ulogic; -- misaligned store data address
145 57 zero_gravi
  signal excl_state  : std_ulogic; -- atomic/exclusive access lock status
146 53 zero_gravi
  signal be_instr    : std_ulogic; -- bus error on instruction access
147
  signal be_load     : std_ulogic; -- bus error on load data access
148
  signal be_store    : std_ulogic; -- bus error on store data access
149
  signal fetch_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
150
  signal curr_pc     : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
151
  signal fpu_rm      : std_ulogic_vector(2 downto 0); -- FPU rounding mode
152
  signal fpu_flags   : std_ulogic_vector(4 downto 0); -- FPU exception flags
153 2 zero_gravi
 
154
  -- co-processor interface --
155 49 zero_gravi
  signal cp_start  : std_ulogic_vector(7 downto 0); -- trigger co-processor i
156
  signal cp_valid  : std_ulogic_vector(7 downto 0); -- co-processor i done
157
  signal cp_result : cp_data_if_t; -- co-processor result
158 2 zero_gravi
 
159 15 zero_gravi
  -- pmp interface --
160
  signal pmp_addr  : pmp_addr_if_t;
161
  signal pmp_ctrl  : pmp_ctrl_if_t;
162
 
163 2 zero_gravi
begin
164
 
165 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
166
  -- -------------------------------------------------------------------------------------------
167 56 zero_gravi
  -- hardware reset notifier --
168
  assert not ((dedicated_reset_c = false) and (def_rst_val_c = '-')) report "NEORV32 CPU CONFIG NOTE: Using NO dedicated hardware reset for uncritical registers (default, might reduce area footprint). Set the package constant <dedicated_reset_c> to TRUE if you need a defined reset value." severity note;
169
  assert not ((dedicated_reset_c = true)  and (def_rst_val_c = '0')) report "NEORV32 CPU CONFIG NOTE: Using defined hardware reset for uncritical registers (non-default, reset-to-zero, might increase area footprint)." severity note;
170
  assert not ((def_rst_val_c /= '-') and (def_rst_val_c /= '0')) report "NEORV32 CPU CONFIG ERROR! Invalid configuration of package <def_rst_val_c> constant (has to be '-' or '0')." severity error;
171
 
172 23 zero_gravi
  -- CSR system --
173 56 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when <CPU_EXTENSION_RISCV_Zicsr> = false." severity warning;
174
 
175
  -- CPU counters (cycle and instret) --
176
  assert not ((CPU_CNT_WIDTH < 0) or (CPU_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! Invalid <CPU_CNT_WIDTH> configuration. Has to be 0..64." severity error;
177
  assert not (CPU_CNT_WIDTH < 64) report "NEORV32 CPU CONFIG WARNING! Implementing CPU <cycle> and <instret> CSRs with reduced size (" & integer'image(CPU_CNT_WIDTH) & "-bit instead of 64-bit). This is not RISC-V compliant and might have unintended SW side effects." severity warning;
178
 
179 23 zero_gravi
  -- U-extension requires Zicsr extension --
180 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
181 40 zero_gravi
 
182 38 zero_gravi
  -- Instruction prefetch buffer size --
183
  assert not (is_power_of_two_f(ipb_entries_c) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <ipb_entries_c> has to be a power of two." severity error;
184 45 zero_gravi
  -- A extension - only lr.w and sc.w are supported yet --
185
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 CPU CONFIG WARNING! Atomic operations extension (A) only supports <lr.w> and <sc.w> instructions." severity warning;
186 15 zero_gravi
 
187 52 zero_gravi
  -- FIXME: Bit manipulation warning --
188 56 zero_gravi
  assert not (CPU_EXTENSION_RISCV_B = true) report "NEORV32 CPU CONFIG WARNING! Bit manipulation extension (B) is still EXPERIMENTAL (and spec. is not ratified yet)." severity warning;
189 44 zero_gravi
 
190 55 zero_gravi
  -- Co-processor timeout counter (for debugging only) --
191
  assert not (cp_timeout_en_c = true) report "NEORV32 CPU CONFIG WARNING! Co-processor timeout counter enabled. This should be used for debugging/simulation only." severity warning;
192 52 zero_gravi
 
193 40 zero_gravi
  -- PMP regions check --
194 53 zero_gravi
  assert not (PMP_NUM_REGIONS > 64) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <PMP_NUM_REGIONS> out xf valid range (0..64)." severity error;
195 40 zero_gravi
  -- PMP granulartiy --
196 56 zero_gravi
  assert not ((is_power_of_two_f(PMP_MIN_GRANULARITY) = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be a power of two." severity error;
197
  assert not ((PMP_MIN_GRANULARITY < 8) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be >= 8 bytes." severity error;
198 40 zero_gravi
  -- PMP notifier --
199 42 zero_gravi
  assert not (PMP_NUM_REGIONS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing physical memory protection (PMP) with " & integer'image(PMP_NUM_REGIONS) & " regions and a minimal granularity of " & integer'image(PMP_MIN_GRANULARITY) & " bytes." severity note;
200 56 zero_gravi
  -- PMP requires Zicsr extension --
201
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
202 40 zero_gravi
 
203 42 zero_gravi
  -- HPM counters check --
204
  assert not (HPM_NUM_CNTS > 29) report "NEORV32 CPU CONFIG ERROR! Number of HPM counters <HPM_NUM_CNTS> out of valid range (0..29)." severity error;
205 56 zero_gravi
  assert not ((HPM_CNT_WIDTH < 1) or (HPM_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! HPM counter width <HPM_CNT_WIDTH> has to be 1..64 bit." severity error;
206 42 zero_gravi
  -- HPM counters notifier --
207 56 zero_gravi
  assert not (HPM_NUM_CNTS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing " & integer'image(HPM_NUM_CNTS) & " HPM counters (each " & integer'image(HPM_CNT_WIDTH) & "-bit wide)." severity note;
208 44 zero_gravi
  -- HPM CNT requires Zicsr extension --
209 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (HPM_NUM_CNTS > 0)) report "NEORV32 CPU CONFIG ERROR! Hardware performance monitors (HPM) require <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
210 41 zero_gravi
 
211 42 zero_gravi
 
212 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
213
  -- -------------------------------------------------------------------------------------------
214
  neorv32_cpu_control_inst: neorv32_cpu_control
215
  generic map (
216
    -- General --
217 40 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,  -- hardware thread id
218
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR, -- cpu boot address
219 2 zero_gravi
    -- RISC-V CPU Extensions --
220 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
221 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
222 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
223
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
224
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
225 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
226 15 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
227
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
228 56 zero_gravi
    -- Extension Options --
229
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,                -- total width of CPU cycle and instret counters (0..64)
230 15 zero_gravi
    -- Physical memory protection (PMP) --
231 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,              -- number of regions (0..64)
232
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,          -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
233
    -- Hardware Performance Monitors (HPM) --
234 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,                 -- number of implemented HPM counters (0..29)
235
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH                 -- total size of HPM counters
236 2 zero_gravi
  )
237
  port map (
238
    -- global control --
239
    clk_i         => clk_i,       -- global clock, rising edge
240
    rstn_i        => rstn_i,      -- global reset, low-active, async
241
    ctrl_o        => ctrl,        -- main control bus
242
    -- status input --
243
    alu_wait_i    => alu_wait,    -- wait for ALU
244 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
245
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
246 57 zero_gravi
    excl_state_i  => excl_state,  -- atomic/exclusive access lock status
247 2 zero_gravi
    -- data input --
248
    instr_i       => instr,       -- instruction
249 47 zero_gravi
    cmp_i         => comparator,  -- comparator status
250 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
251
    rs1_i         => rs1,         -- rf source 1
252 2 zero_gravi
    -- data output --
253
    imm_o         => imm,         -- immediate
254 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
255
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
256 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
257 52 zero_gravi
    -- FPU interface --
258
    fpu_rm_o      => fpu_rm,      -- rounding mode
259
    fpu_flags_i   => fpu_flags,   -- exception flags
260 14 zero_gravi
    -- interrupts (risc-v compliant) --
261
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
262
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
263 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
264 58 zero_gravi
    -- non-maskable interrupt --
265
    nm_irq_i      => nm_irq_i,    -- nmi
266 14 zero_gravi
    -- fast interrupts (custom) --
267 47 zero_gravi
    firq_i        => firq_i,      -- fast interrupt trigger
268
    firq_ack_o    => firq_ack_o,  -- fast interrupt acknowledge mask
269 11 zero_gravi
    -- system time input from MTIME --
270
    time_i        => time_i,      -- current system time
271 15 zero_gravi
    -- physical memory protection --
272
    pmp_addr_o    => pmp_addr,    -- addresses
273
    pmp_ctrl_o    => pmp_ctrl,    -- configs
274 2 zero_gravi
    -- bus access exceptions --
275
    mar_i         => mar,         -- memory address register
276
    ma_instr_i    => ma_instr,    -- misaligned instruction address
277
    ma_load_i     => ma_load,     -- misaligned load data address
278
    ma_store_i    => ma_store,    -- misaligned store data address
279
    be_instr_i    => be_instr,    -- bus error on instruction access
280
    be_load_i     => be_load,     -- bus error on load data access
281 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
282 2 zero_gravi
  );
283
 
284 47 zero_gravi
  -- CPU is sleeping? --
285
  sleep_o <= ctrl(ctrl_sleep_c); -- set when CPU is sleeping (after WFI)
286 2 zero_gravi
 
287 47 zero_gravi
 
288 2 zero_gravi
  -- Register File --------------------------------------------------------------------------
289
  -- -------------------------------------------------------------------------------------------
290 45 zero_gravi
  neorv32_cpu_regfile_inst: neorv32_cpu_regfile
291 2 zero_gravi
  generic map (
292
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
293
  )
294
  port map (
295
    -- global control --
296
    clk_i  => clk_i,              -- global clock, rising edge
297
    ctrl_i => ctrl,               -- main control bus
298
    -- data input --
299 52 zero_gravi
    mem_i  => mem_rdata,          -- memory read data
300 2 zero_gravi
    alu_i  => alu_res,            -- ALU result
301
    -- data output --
302
    rs1_o  => rs1,                -- operand 1
303 47 zero_gravi
    rs2_o  => rs2,                -- operand 2
304
    cmp_o  => comparator          -- comparator status
305 2 zero_gravi
  );
306
 
307
 
308
  -- ALU ------------------------------------------------------------------------------------
309
  -- -------------------------------------------------------------------------------------------
310
  neorv32_cpu_alu_inst: neorv32_cpu_alu
311 11 zero_gravi
  generic map (
312 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
313 56 zero_gravi
    FAST_SHIFT_EN         => FAST_SHIFT_EN,         -- use barrel shifter for shift operations
314
    TINY_SHIFT_EN         => TINY_SHIFT_EN          -- use tiny (single-bit) shifter for shift operations
315 11 zero_gravi
  )
316 2 zero_gravi
  port map (
317
    -- global control --
318
    clk_i       => clk_i,         -- global clock, rising edge
319
    rstn_i      => rstn_i,        -- global reset, low-active, async
320
    ctrl_i      => ctrl,          -- main control bus
321
    -- data input --
322
    rs1_i       => rs1,           -- rf source 1
323
    rs2_i       => rs2,           -- rf source 2
324 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
325 2 zero_gravi
    imm_i       => imm,           -- immediate
326
    -- data output --
327
    res_o       => alu_res,       -- ALU result
328 36 zero_gravi
    add_o       => alu_add,       -- address computation result
329 2 zero_gravi
    -- co-processor interface --
330 49 zero_gravi
    cp_start_o  => cp_start,      -- trigger co-processor i
331
    cp_valid_i  => cp_valid,      -- co-processor i done
332
    cp_result_i => cp_result,     -- co-processor result
333 2 zero_gravi
    -- status --
334
    wait_o      => alu_wait       -- busy due to iterative processing units
335
  );
336
 
337
 
338 57 zero_gravi
  -- Co-Processor 0: CSR (Read) Access ('Zicsr' Extension) ----------------------------------
339 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
340 57 zero_gravi
  -- "pseudo" co-processor for CSR *read* access operations
341
  -- required to get CSR read data into the data path
342
  cp_result(0) <= csr_rdata when (CPU_EXTENSION_RISCV_Zicsr = true) else (others => '0');
343
  cp_valid(0)  <= cp_start(0); -- always assigned even if Zicsr extension is disabled to make sure CPU does not get stalled if there is an accidental access
344
 
345
 
346
  -- Co-Processor 1: Integer Multiplication/Division ('M' Extension) ------------------------
347
  -- -------------------------------------------------------------------------------------------
348 2 zero_gravi
  neorv32_cpu_cp_muldiv_inst_true:
349
  if (CPU_EXTENSION_RISCV_M = true) generate
350
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
351 19 zero_gravi
    generic map (
352 38 zero_gravi
      FAST_MUL_EN => FAST_MUL_EN  -- use DSPs for faster multiplication
353 19 zero_gravi
    )
354 2 zero_gravi
    port map (
355
      -- global control --
356
      clk_i   => clk_i,           -- global clock, rising edge
357
      rstn_i  => rstn_i,          -- global reset, low-active, async
358
      ctrl_i  => ctrl,            -- main control bus
359 57 zero_gravi
      start_i => cp_start(1),     -- trigger operation
360 2 zero_gravi
      -- data input --
361 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
362
      rs2_i   => rs2,             -- rf source 2
363 2 zero_gravi
      -- result and status --
364 57 zero_gravi
      res_o   => cp_result(1),    -- operation result
365
      valid_o => cp_valid(1)      -- data output valid
366 2 zero_gravi
    );
367
  end generate;
368
 
369
  neorv32_cpu_cp_muldiv_inst_false:
370
  if (CPU_EXTENSION_RISCV_M = false) generate
371 57 zero_gravi
    cp_result(1) <= (others => '0');
372
    cp_valid(1)  <= cp_start(1); -- to make sure CPU does not get stalled if there is an accidental access
373 2 zero_gravi
  end generate;
374
 
375
 
376 47 zero_gravi
  -- Co-Processor 2: Bit Manipulation ('B' Extension) ---------------------------------------
377 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
378 44 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_true:
379
  if (CPU_EXTENSION_RISCV_B = true) generate
380
    neorv32_cpu_cp_bitmanip_inst: neorv32_cpu_cp_bitmanip
381
    port map (
382
      -- global control --
383
      clk_i   => clk_i,           -- global clock, rising edge
384
      rstn_i  => rstn_i,          -- global reset, low-active, async
385
      ctrl_i  => ctrl,            -- main control bus
386 49 zero_gravi
      start_i => cp_start(2),     -- trigger operation
387 44 zero_gravi
      -- data input --
388 47 zero_gravi
      cmp_i   => comparator,      -- comparator status
389 44 zero_gravi
      rs1_i   => rs1,             -- rf source 1
390
      rs2_i   => rs2,             -- rf source 2
391
      -- result and status --
392 49 zero_gravi
      res_o   => cp_result(2),    -- operation result
393
      valid_o => cp_valid(2)      -- data output valid
394 44 zero_gravi
    );
395
  end generate;
396 36 zero_gravi
 
397 44 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_false:
398
  if (CPU_EXTENSION_RISCV_B = false) generate
399 49 zero_gravi
    cp_result(2) <= (others => '0');
400
    cp_valid(2)  <= cp_start(2); -- to make sure CPU does not get stalled if there is an accidental access
401 44 zero_gravi
  end generate;
402 36 zero_gravi
 
403 44 zero_gravi
 
404 57 zero_gravi
  -- Co-Processor 3: Single-Precision Floating-Point Unit ('Zfinx' Extension) ---------------
405 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
406 52 zero_gravi
  neorv32_cpu_cp_fpu_inst_true:
407 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = true) generate
408 52 zero_gravi
    neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu
409
    port map (
410
      -- global control --
411 53 zero_gravi
      clk_i    => clk_i,        -- global clock, rising edge
412
      rstn_i   => rstn_i,       -- global reset, low-active, async
413
      ctrl_i   => ctrl,         -- main control bus
414 57 zero_gravi
      start_i  => cp_start(3),  -- trigger operation
415 52 zero_gravi
      -- data input --
416 53 zero_gravi
      frm_i    => fpu_rm,       -- rounding mode
417 56 zero_gravi
      cmp_i    => comparator,   -- comparator status
418 53 zero_gravi
      rs1_i    => rs1,          -- rf source 1
419
      rs2_i    => rs2,          -- rf source 2
420 52 zero_gravi
      -- result and status --
421 57 zero_gravi
      res_o    => cp_result(3), -- operation result
422 53 zero_gravi
      fflags_o => fpu_flags,    -- exception flags
423 57 zero_gravi
      valid_o  => cp_valid(3)   -- data output valid
424 52 zero_gravi
    );
425
  end generate;
426
 
427
  neorv32_cpu_cp_fpu_inst_false:
428 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = false) generate
429 57 zero_gravi
    cp_result(3) <= (others => '0');
430 53 zero_gravi
    fpu_flags    <= (others => '0');
431 57 zero_gravi
    cp_valid(3)  <= cp_start(3); -- to make sure CPU does not get stalled if there is an accidental access
432 52 zero_gravi
  end generate;
433
 
434
 
435 57 zero_gravi
  -- Co-Processor 4,5,6,7: Not Implemented --------------------------------------------------
436 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
437 57 zero_gravi
  cp_result(4) <= (others => '0');
438
  cp_valid(4)  <= '0';
439
  --
440 49 zero_gravi
  cp_result(5) <= (others => '0');
441
  cp_valid(5)  <= '0';
442
  --
443
  cp_result(6) <= (others => '0');
444
  cp_valid(6)  <= '0';
445
  --
446
  cp_result(7) <= (others => '0');
447
  cp_valid(7)  <= '0';
448
 
449
 
450 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
451 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
452
  neorv32_cpu_bus_inst: neorv32_cpu_bus
453
  generic map (
454 53 zero_gravi
    CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension?
455 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
456 15 zero_gravi
    -- Physical memory protection (PMP) --
457 42 zero_gravi
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS,       -- number of regions (0..64)
458 57 zero_gravi
    PMP_MIN_GRANULARITY   => PMP_MIN_GRANULARITY    -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
459 2 zero_gravi
  )
460
  port map (
461
    -- global control --
462 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
463 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
464 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
465
    -- cpu instruction fetch interface --
466
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
467
    instr_o        => instr,          -- instruction
468
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
469
    --
470
    ma_instr_o     => ma_instr,       -- misaligned instruction address
471
    be_instr_o     => be_instr,       -- bus error on instruction access
472
    -- cpu data access interface --
473 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
474 53 zero_gravi
    wdata_i        => rs2,            -- write data
475 52 zero_gravi
    rdata_o        => mem_rdata,      -- read data
476 12 zero_gravi
    mar_o          => mar,            -- current memory address register
477
    d_wait_o       => bus_d_wait,     -- wait for access to complete
478
    --
479 57 zero_gravi
    excl_state_o   => excl_state,     -- atomic/exclusive access status
480 12 zero_gravi
    ma_load_o      => ma_load,        -- misaligned load data address
481
    ma_store_o     => ma_store,       -- misaligned store data address
482
    be_load_o      => be_load,        -- bus error on load data access
483
    be_store_o     => be_store,       -- bus error on store data access
484 15 zero_gravi
    -- physical memory protection --
485
    pmp_addr_i     => pmp_addr,       -- addresses
486
    pmp_ctrl_i     => pmp_ctrl,       -- configs
487 12 zero_gravi
    -- instruction bus --
488
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
489
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
490
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
491
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
492
    i_bus_we_o     => i_bus_we_o,     -- write enable
493
    i_bus_re_o     => i_bus_re_o,     -- read enable
494 57 zero_gravi
    i_bus_lock_o   => i_bus_lock_o,   -- exclusive access request
495 12 zero_gravi
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
496
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
497
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
498
    -- data bus --
499
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
500
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
501
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
502
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
503
    d_bus_we_o     => d_bus_we_o,     -- write enable
504
    d_bus_re_o     => d_bus_re_o,     -- read enable
505 57 zero_gravi
    d_bus_lock_o   => d_bus_lock_o,   -- exclusive access request
506 12 zero_gravi
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
507
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
508 57 zero_gravi
    d_bus_fence_o  => d_bus_fence_o   -- fence operation
509 2 zero_gravi
  );
510
 
511 35 zero_gravi
  -- current privilege level --
512 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
513
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
514 2 zero_gravi
 
515 35 zero_gravi
 
516 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.