OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 47 zero_gravi
-- # * neorv32_cpu.vhd                   - CPU top entity                                          #
6
-- #   * neorv32_cpu_alu.vhd             - Arithmetic/logic unit                                   #
7
-- #   * neorv32_cpu_bus.vhd             - Instruction and data bus interface unit                 #
8 52 zero_gravi
-- #   * neorv32_cpu_cp_bitmanip.vhd     - Bit-manipulation co-processor ('B')                     #
9 53 zero_gravi
-- #   * neorv32_cpu_cp_fpu.vhd          - Single-precision FPU co-processor ('Zfinx')             #
10 52 zero_gravi
-- #   * neorv32_cpu_cp_muldiv.vhd       - Integer multiplier/divider co-processor ('M')           #
11 47 zero_gravi
-- #   * neorv32_cpu_ctrl.vhd            - CPU control and CSR system                              #
12
-- #     * neorv32_cpu_decompressor.vhd  - Compressed instructions decoder                         #
13
-- #   * neorv32_cpu_regfile.vhd         - Data register file                                      #
14 56 zero_gravi
-- # * neorv32_package.vhd               - Main CPU & Processor package file                       #
15 38 zero_gravi
-- #                                                                                               #
16 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
17 2 zero_gravi
-- # ********************************************************************************************* #
18
-- # BSD 3-Clause License                                                                          #
19
-- #                                                                                               #
20 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
21 2 zero_gravi
-- #                                                                                               #
22
-- # Redistribution and use in source and binary forms, with or without modification, are          #
23
-- # permitted provided that the following conditions are met:                                     #
24
-- #                                                                                               #
25
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
26
-- #    conditions and the following disclaimer.                                                   #
27
-- #                                                                                               #
28
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
29
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
30
-- #    provided with the distribution.                                                            #
31
-- #                                                                                               #
32
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
33
-- #    endorse or promote products derived from this software without specific prior written      #
34
-- #    permission.                                                                                #
35
-- #                                                                                               #
36
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
37
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
38
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
39
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
40
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
41
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
42
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
43
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
44
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
45
-- # ********************************************************************************************* #
46
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
47
-- #################################################################################################
48
 
49
library ieee;
50
use ieee.std_logic_1164.all;
51
use ieee.numeric_std.all;
52
 
53
library neorv32;
54
use neorv32.neorv32_package.all;
55
 
56
entity neorv32_cpu is
57
  generic (
58
    -- General --
59 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
60
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
61 59 zero_gravi
    CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu debug mode start address
62 2 zero_gravi
    -- RISC-V CPU Extensions --
63 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
64 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
65 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
66
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
67
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
68 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
69 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
70 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
71 52 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
72 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    : boolean := false; -- implement CPU debug mode?
73 19 zero_gravi
    -- Extension Options --
74
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
75 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
76 56 zero_gravi
    TINY_SHIFT_EN                : boolean := false; -- use tiny (single-bit) shifter for shift operations
77
    CPU_CNT_WIDTH                : natural := 64;    -- total width of CPU cycle and instret counters (0..64)
78 15 zero_gravi
    -- Physical Memory Protection (PMP) --
79 55 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
80 42 zero_gravi
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
81
    -- Hardware Performance Monitors (HPM) --
82 56 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;     -- number of implemented HPM counters (0..29)
83
    HPM_CNT_WIDTH                : natural := 40     -- total size of HPM counters (1..64)
84 2 zero_gravi
  );
85
  port (
86
    -- global control --
87 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
88
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
89 47 zero_gravi
    sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
90 12 zero_gravi
    -- instruction bus interface --
91
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
92 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
93 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
94
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
95
    i_bus_we_o     : out std_ulogic; -- write enable
96
    i_bus_re_o     : out std_ulogic; -- read enable
97 57 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- exclusive access request
98 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
99
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
100 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
101 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
102 12 zero_gravi
    -- data bus interface --
103
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
104 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
105 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
106
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
107
    d_bus_we_o     : out std_ulogic; -- write enable
108
    d_bus_re_o     : out std_ulogic; -- read enable
109 57 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- exclusive access request
110 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
111
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
112 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
113 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
114 11 zero_gravi
    -- system time input from MTIME --
115 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
116 58 zero_gravi
    -- non-maskable interrupt --
117
    nm_irq_i       : in  std_ulogic := '0'; -- NMI
118 14 zero_gravi
    -- interrupts (risc-v compliant) --
119
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
120
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
121
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
122
    -- fast interrupts (custom) --
123 48 zero_gravi
    firq_i         : in  std_ulogic_vector(15 downto 0) := (others => '0');
124 59 zero_gravi
    firq_ack_o     : out std_ulogic_vector(15 downto 0);
125
    -- debug mode (halt) request --
126
    db_halt_req_i  : in  std_ulogic := '0'
127 2 zero_gravi
  );
128
end neorv32_cpu;
129
 
130
architecture neorv32_cpu_rtl of neorv32_cpu is
131
 
132
  -- local signals --
133 53 zero_gravi
  signal ctrl        : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
134
  signal comparator  : std_ulogic_vector(1 downto 0); -- comparator result
135
  signal imm         : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
136
  signal instr       : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
137
  signal rs1, rs2    : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
138
  signal alu_res     : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
139
  signal alu_add     : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
140
  signal mem_rdata   : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
141
  signal alu_wait    : std_ulogic; -- alu is busy due to iterative unit
142
  signal bus_i_wait  : std_ulogic; -- wait for current bus instruction fetch
143
  signal bus_d_wait  : std_ulogic; -- wait for current bus data access
144
  signal csr_rdata   : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
145
  signal mar         : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
146
  signal ma_instr    : std_ulogic; -- misaligned instruction address
147
  signal ma_load     : std_ulogic; -- misaligned load data address
148
  signal ma_store    : std_ulogic; -- misaligned store data address
149 57 zero_gravi
  signal excl_state  : std_ulogic; -- atomic/exclusive access lock status
150 53 zero_gravi
  signal be_instr    : std_ulogic; -- bus error on instruction access
151
  signal be_load     : std_ulogic; -- bus error on load data access
152
  signal be_store    : std_ulogic; -- bus error on store data access
153
  signal fetch_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
154
  signal curr_pc     : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
155
  signal fpu_rm      : std_ulogic_vector(2 downto 0); -- FPU rounding mode
156
  signal fpu_flags   : std_ulogic_vector(4 downto 0); -- FPU exception flags
157 2 zero_gravi
 
158
  -- co-processor interface --
159 49 zero_gravi
  signal cp_start  : std_ulogic_vector(7 downto 0); -- trigger co-processor i
160
  signal cp_valid  : std_ulogic_vector(7 downto 0); -- co-processor i done
161
  signal cp_result : cp_data_if_t; -- co-processor result
162 2 zero_gravi
 
163 15 zero_gravi
  -- pmp interface --
164
  signal pmp_addr  : pmp_addr_if_t;
165
  signal pmp_ctrl  : pmp_ctrl_if_t;
166
 
167 2 zero_gravi
begin
168
 
169 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
170
  -- -------------------------------------------------------------------------------------------
171 56 zero_gravi
  -- hardware reset notifier --
172
  assert not ((dedicated_reset_c = false) and (def_rst_val_c = '-')) report "NEORV32 CPU CONFIG NOTE: Using NO dedicated hardware reset for uncritical registers (default, might reduce area footprint). Set the package constant <dedicated_reset_c> to TRUE if you need a defined reset value." severity note;
173
  assert not ((dedicated_reset_c = true)  and (def_rst_val_c = '0')) report "NEORV32 CPU CONFIG NOTE: Using defined hardware reset for uncritical registers (non-default, reset-to-zero, might increase area footprint)." severity note;
174
  assert not ((def_rst_val_c /= '-') and (def_rst_val_c /= '0')) report "NEORV32 CPU CONFIG ERROR! Invalid configuration of package <def_rst_val_c> constant (has to be '-' or '0')." severity error;
175
 
176 23 zero_gravi
  -- CSR system --
177 56 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when <CPU_EXTENSION_RISCV_Zicsr> = false." severity warning;
178
 
179
  -- CPU counters (cycle and instret) --
180
  assert not ((CPU_CNT_WIDTH < 0) or (CPU_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! Invalid <CPU_CNT_WIDTH> configuration. Has to be 0..64." severity error;
181
  assert not (CPU_CNT_WIDTH < 64) report "NEORV32 CPU CONFIG WARNING! Implementing CPU <cycle> and <instret> CSRs with reduced size (" & integer'image(CPU_CNT_WIDTH) & "-bit instead of 64-bit). This is not RISC-V compliant and might have unintended SW side effects." severity warning;
182
 
183 23 zero_gravi
  -- U-extension requires Zicsr extension --
184 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
185 40 zero_gravi
 
186 38 zero_gravi
  -- Instruction prefetch buffer size --
187
  assert not (is_power_of_two_f(ipb_entries_c) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <ipb_entries_c> has to be a power of two." severity error;
188 15 zero_gravi
 
189 59 zero_gravi
  -- A extension - only lr.w and sc.w are supported --
190
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 CPU CONFIG NOTE. Atomic operations extension (A) only supports <lr.w> and <sc.w> instructions." severity note;
191
 
192 52 zero_gravi
  -- FIXME: Bit manipulation warning --
193 56 zero_gravi
  assert not (CPU_EXTENSION_RISCV_B = true) report "NEORV32 CPU CONFIG WARNING! Bit manipulation extension (B) is still EXPERIMENTAL (and spec. is not ratified yet)." severity warning;
194 44 zero_gravi
 
195 55 zero_gravi
  -- Co-processor timeout counter (for debugging only) --
196
  assert not (cp_timeout_en_c = true) report "NEORV32 CPU CONFIG WARNING! Co-processor timeout counter enabled. This should be used for debugging/simulation only." severity warning;
197 52 zero_gravi
 
198 40 zero_gravi
  -- PMP regions check --
199 53 zero_gravi
  assert not (PMP_NUM_REGIONS > 64) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <PMP_NUM_REGIONS> out xf valid range (0..64)." severity error;
200 59 zero_gravi
  -- PMP granularity --
201 56 zero_gravi
  assert not ((is_power_of_two_f(PMP_MIN_GRANULARITY) = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be a power of two." severity error;
202
  assert not ((PMP_MIN_GRANULARITY < 8) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be >= 8 bytes." severity error;
203 40 zero_gravi
  -- PMP notifier --
204 42 zero_gravi
  assert not (PMP_NUM_REGIONS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing physical memory protection (PMP) with " & integer'image(PMP_NUM_REGIONS) & " regions and a minimal granularity of " & integer'image(PMP_MIN_GRANULARITY) & " bytes." severity note;
205 56 zero_gravi
  -- PMP requires Zicsr extension --
206
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
207 40 zero_gravi
 
208 42 zero_gravi
  -- HPM counters check --
209
  assert not (HPM_NUM_CNTS > 29) report "NEORV32 CPU CONFIG ERROR! Number of HPM counters <HPM_NUM_CNTS> out of valid range (0..29)." severity error;
210 56 zero_gravi
  assert not ((HPM_CNT_WIDTH < 1) or (HPM_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! HPM counter width <HPM_CNT_WIDTH> has to be 1..64 bit." severity error;
211 42 zero_gravi
  -- HPM counters notifier --
212 56 zero_gravi
  assert not (HPM_NUM_CNTS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing " & integer'image(HPM_NUM_CNTS) & " HPM counters (each " & integer'image(HPM_CNT_WIDTH) & "-bit wide)." severity note;
213 44 zero_gravi
  -- HPM CNT requires Zicsr extension --
214 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (HPM_NUM_CNTS > 0)) report "NEORV32 CPU CONFIG ERROR! Hardware performance monitors (HPM) require <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
215 41 zero_gravi
 
216 59 zero_gravi
  -- Debug mode --
217
  assert not (CPU_EXTENSION_RISCV_DEBUG = true) report "NEORV32 CPU CONFIG NOTE: Implementing RISC-V DEBUG MODE extension." severity note;
218
  assert not ((CPU_EXTENSION_RISCV_DEBUG = true) and (CPU_EXTENSION_RISCV_Zicsr = false)) report "NEORV32 CPU CONFIG ERROR! Debug mode requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
219
  -- FIXME: debug mode extension warning --
220
  assert not (CPU_EXTENSION_RISCV_DEBUG = true) report "NEORV32 CPU CONFIG WARNING! RISC-V DEBUG MODE extension <CPU_EXTENSION_RISCV_DEBUG> is still EXPERIMENTAL." severity warning;
221 42 zero_gravi
 
222 59 zero_gravi
 
223 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
224
  -- -------------------------------------------------------------------------------------------
225
  neorv32_cpu_control_inst: neorv32_cpu_control
226
  generic map (
227
    -- General --
228 59 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,                 -- hardware thread id
229
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR,                -- cpu boot address
230
    CPU_DEBUG_ADDR               => CPU_DEBUG_ADDR,               -- cpu debug mode start address
231 2 zero_gravi
    -- RISC-V CPU Extensions --
232 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
233 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
234 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
235
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
236
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
237 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
238 15 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
239
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
240 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    => CPU_EXTENSION_RISCV_DEBUG,    -- implement CPU debug mode?
241 56 zero_gravi
    -- Extension Options --
242
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,                -- total width of CPU cycle and instret counters (0..64)
243 15 zero_gravi
    -- Physical memory protection (PMP) --
244 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,              -- number of regions (0..64)
245
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,          -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
246
    -- Hardware Performance Monitors (HPM) --
247 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,                 -- number of implemented HPM counters (0..29)
248
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH                 -- total size of HPM counters
249 2 zero_gravi
  )
250
  port map (
251
    -- global control --
252
    clk_i         => clk_i,       -- global clock, rising edge
253
    rstn_i        => rstn_i,      -- global reset, low-active, async
254
    ctrl_o        => ctrl,        -- main control bus
255
    -- status input --
256
    alu_wait_i    => alu_wait,    -- wait for ALU
257 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
258
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
259 57 zero_gravi
    excl_state_i  => excl_state,  -- atomic/exclusive access lock status
260 2 zero_gravi
    -- data input --
261
    instr_i       => instr,       -- instruction
262 47 zero_gravi
    cmp_i         => comparator,  -- comparator status
263 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
264
    rs1_i         => rs1,         -- rf source 1
265 2 zero_gravi
    -- data output --
266
    imm_o         => imm,         -- immediate
267 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
268
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
269 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
270 52 zero_gravi
    -- FPU interface --
271
    fpu_rm_o      => fpu_rm,      -- rounding mode
272
    fpu_flags_i   => fpu_flags,   -- exception flags
273 59 zero_gravi
    -- debug mode (halt) request --
274
    db_halt_req_i => db_halt_req_i,
275 14 zero_gravi
    -- interrupts (risc-v compliant) --
276
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
277
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
278 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
279 58 zero_gravi
    -- non-maskable interrupt --
280
    nm_irq_i      => nm_irq_i,    -- nmi
281 14 zero_gravi
    -- fast interrupts (custom) --
282 47 zero_gravi
    firq_i        => firq_i,      -- fast interrupt trigger
283
    firq_ack_o    => firq_ack_o,  -- fast interrupt acknowledge mask
284 11 zero_gravi
    -- system time input from MTIME --
285
    time_i        => time_i,      -- current system time
286 15 zero_gravi
    -- physical memory protection --
287
    pmp_addr_o    => pmp_addr,    -- addresses
288
    pmp_ctrl_o    => pmp_ctrl,    -- configs
289 2 zero_gravi
    -- bus access exceptions --
290
    mar_i         => mar,         -- memory address register
291
    ma_instr_i    => ma_instr,    -- misaligned instruction address
292
    ma_load_i     => ma_load,     -- misaligned load data address
293
    ma_store_i    => ma_store,    -- misaligned store data address
294
    be_instr_i    => be_instr,    -- bus error on instruction access
295
    be_load_i     => be_load,     -- bus error on load data access
296 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
297 2 zero_gravi
  );
298
 
299 47 zero_gravi
  -- CPU is sleeping? --
300
  sleep_o <= ctrl(ctrl_sleep_c); -- set when CPU is sleeping (after WFI)
301 2 zero_gravi
 
302 47 zero_gravi
 
303 2 zero_gravi
  -- Register File --------------------------------------------------------------------------
304
  -- -------------------------------------------------------------------------------------------
305 45 zero_gravi
  neorv32_cpu_regfile_inst: neorv32_cpu_regfile
306 2 zero_gravi
  generic map (
307
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
308
  )
309
  port map (
310
    -- global control --
311
    clk_i  => clk_i,              -- global clock, rising edge
312
    ctrl_i => ctrl,               -- main control bus
313
    -- data input --
314 52 zero_gravi
    mem_i  => mem_rdata,          -- memory read data
315 2 zero_gravi
    alu_i  => alu_res,            -- ALU result
316
    -- data output --
317
    rs1_o  => rs1,                -- operand 1
318 47 zero_gravi
    rs2_o  => rs2,                -- operand 2
319
    cmp_o  => comparator          -- comparator status
320 2 zero_gravi
  );
321
 
322
 
323
  -- ALU ------------------------------------------------------------------------------------
324
  -- -------------------------------------------------------------------------------------------
325
  neorv32_cpu_alu_inst: neorv32_cpu_alu
326 11 zero_gravi
  generic map (
327 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
328 56 zero_gravi
    FAST_SHIFT_EN         => FAST_SHIFT_EN,         -- use barrel shifter for shift operations
329
    TINY_SHIFT_EN         => TINY_SHIFT_EN          -- use tiny (single-bit) shifter for shift operations
330 11 zero_gravi
  )
331 2 zero_gravi
  port map (
332
    -- global control --
333
    clk_i       => clk_i,         -- global clock, rising edge
334
    rstn_i      => rstn_i,        -- global reset, low-active, async
335
    ctrl_i      => ctrl,          -- main control bus
336
    -- data input --
337
    rs1_i       => rs1,           -- rf source 1
338
    rs2_i       => rs2,           -- rf source 2
339 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
340 2 zero_gravi
    imm_i       => imm,           -- immediate
341
    -- data output --
342
    res_o       => alu_res,       -- ALU result
343 36 zero_gravi
    add_o       => alu_add,       -- address computation result
344 2 zero_gravi
    -- co-processor interface --
345 49 zero_gravi
    cp_start_o  => cp_start,      -- trigger co-processor i
346
    cp_valid_i  => cp_valid,      -- co-processor i done
347
    cp_result_i => cp_result,     -- co-processor result
348 2 zero_gravi
    -- status --
349
    wait_o      => alu_wait       -- busy due to iterative processing units
350
  );
351
 
352
 
353 57 zero_gravi
  -- Co-Processor 0: CSR (Read) Access ('Zicsr' Extension) ----------------------------------
354 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
355 57 zero_gravi
  -- "pseudo" co-processor for CSR *read* access operations
356
  -- required to get CSR read data into the data path
357
  cp_result(0) <= csr_rdata when (CPU_EXTENSION_RISCV_Zicsr = true) else (others => '0');
358
  cp_valid(0)  <= cp_start(0); -- always assigned even if Zicsr extension is disabled to make sure CPU does not get stalled if there is an accidental access
359
 
360
 
361
  -- Co-Processor 1: Integer Multiplication/Division ('M' Extension) ------------------------
362
  -- -------------------------------------------------------------------------------------------
363 2 zero_gravi
  neorv32_cpu_cp_muldiv_inst_true:
364
  if (CPU_EXTENSION_RISCV_M = true) generate
365
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
366 19 zero_gravi
    generic map (
367 38 zero_gravi
      FAST_MUL_EN => FAST_MUL_EN  -- use DSPs for faster multiplication
368 19 zero_gravi
    )
369 2 zero_gravi
    port map (
370
      -- global control --
371
      clk_i   => clk_i,           -- global clock, rising edge
372
      rstn_i  => rstn_i,          -- global reset, low-active, async
373
      ctrl_i  => ctrl,            -- main control bus
374 57 zero_gravi
      start_i => cp_start(1),     -- trigger operation
375 2 zero_gravi
      -- data input --
376 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
377
      rs2_i   => rs2,             -- rf source 2
378 2 zero_gravi
      -- result and status --
379 57 zero_gravi
      res_o   => cp_result(1),    -- operation result
380
      valid_o => cp_valid(1)      -- data output valid
381 2 zero_gravi
    );
382
  end generate;
383
 
384
  neorv32_cpu_cp_muldiv_inst_false:
385
  if (CPU_EXTENSION_RISCV_M = false) generate
386 57 zero_gravi
    cp_result(1) <= (others => '0');
387
    cp_valid(1)  <= cp_start(1); -- to make sure CPU does not get stalled if there is an accidental access
388 2 zero_gravi
  end generate;
389
 
390
 
391 47 zero_gravi
  -- Co-Processor 2: Bit Manipulation ('B' Extension) ---------------------------------------
392 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
393 44 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_true:
394
  if (CPU_EXTENSION_RISCV_B = true) generate
395
    neorv32_cpu_cp_bitmanip_inst: neorv32_cpu_cp_bitmanip
396
    port map (
397
      -- global control --
398
      clk_i   => clk_i,           -- global clock, rising edge
399
      rstn_i  => rstn_i,          -- global reset, low-active, async
400
      ctrl_i  => ctrl,            -- main control bus
401 49 zero_gravi
      start_i => cp_start(2),     -- trigger operation
402 44 zero_gravi
      -- data input --
403 47 zero_gravi
      cmp_i   => comparator,      -- comparator status
404 44 zero_gravi
      rs1_i   => rs1,             -- rf source 1
405
      rs2_i   => rs2,             -- rf source 2
406
      -- result and status --
407 49 zero_gravi
      res_o   => cp_result(2),    -- operation result
408
      valid_o => cp_valid(2)      -- data output valid
409 44 zero_gravi
    );
410
  end generate;
411 36 zero_gravi
 
412 44 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_false:
413
  if (CPU_EXTENSION_RISCV_B = false) generate
414 49 zero_gravi
    cp_result(2) <= (others => '0');
415
    cp_valid(2)  <= cp_start(2); -- to make sure CPU does not get stalled if there is an accidental access
416 44 zero_gravi
  end generate;
417 36 zero_gravi
 
418 44 zero_gravi
 
419 57 zero_gravi
  -- Co-Processor 3: Single-Precision Floating-Point Unit ('Zfinx' Extension) ---------------
420 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
421 52 zero_gravi
  neorv32_cpu_cp_fpu_inst_true:
422 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = true) generate
423 52 zero_gravi
    neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu
424
    port map (
425
      -- global control --
426 53 zero_gravi
      clk_i    => clk_i,        -- global clock, rising edge
427
      rstn_i   => rstn_i,       -- global reset, low-active, async
428
      ctrl_i   => ctrl,         -- main control bus
429 57 zero_gravi
      start_i  => cp_start(3),  -- trigger operation
430 52 zero_gravi
      -- data input --
431 53 zero_gravi
      frm_i    => fpu_rm,       -- rounding mode
432 56 zero_gravi
      cmp_i    => comparator,   -- comparator status
433 53 zero_gravi
      rs1_i    => rs1,          -- rf source 1
434
      rs2_i    => rs2,          -- rf source 2
435 52 zero_gravi
      -- result and status --
436 57 zero_gravi
      res_o    => cp_result(3), -- operation result
437 53 zero_gravi
      fflags_o => fpu_flags,    -- exception flags
438 57 zero_gravi
      valid_o  => cp_valid(3)   -- data output valid
439 52 zero_gravi
    );
440
  end generate;
441
 
442
  neorv32_cpu_cp_fpu_inst_false:
443 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = false) generate
444 57 zero_gravi
    cp_result(3) <= (others => '0');
445 53 zero_gravi
    fpu_flags    <= (others => '0');
446 57 zero_gravi
    cp_valid(3)  <= cp_start(3); -- to make sure CPU does not get stalled if there is an accidental access
447 52 zero_gravi
  end generate;
448
 
449
 
450 57 zero_gravi
  -- Co-Processor 4,5,6,7: Not Implemented --------------------------------------------------
451 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
452 57 zero_gravi
  cp_result(4) <= (others => '0');
453
  cp_valid(4)  <= '0';
454
  --
455 49 zero_gravi
  cp_result(5) <= (others => '0');
456
  cp_valid(5)  <= '0';
457
  --
458
  cp_result(6) <= (others => '0');
459
  cp_valid(6)  <= '0';
460
  --
461
  cp_result(7) <= (others => '0');
462
  cp_valid(7)  <= '0';
463
 
464
 
465 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
466 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
467
  neorv32_cpu_bus_inst: neorv32_cpu_bus
468
  generic map (
469 53 zero_gravi
    CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension?
470 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
471 15 zero_gravi
    -- Physical memory protection (PMP) --
472 42 zero_gravi
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS,       -- number of regions (0..64)
473 57 zero_gravi
    PMP_MIN_GRANULARITY   => PMP_MIN_GRANULARITY    -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
474 2 zero_gravi
  )
475
  port map (
476
    -- global control --
477 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
478 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
479 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
480
    -- cpu instruction fetch interface --
481
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
482
    instr_o        => instr,          -- instruction
483
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
484
    --
485
    ma_instr_o     => ma_instr,       -- misaligned instruction address
486
    be_instr_o     => be_instr,       -- bus error on instruction access
487
    -- cpu data access interface --
488 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
489 53 zero_gravi
    wdata_i        => rs2,            -- write data
490 52 zero_gravi
    rdata_o        => mem_rdata,      -- read data
491 12 zero_gravi
    mar_o          => mar,            -- current memory address register
492
    d_wait_o       => bus_d_wait,     -- wait for access to complete
493
    --
494 57 zero_gravi
    excl_state_o   => excl_state,     -- atomic/exclusive access status
495 12 zero_gravi
    ma_load_o      => ma_load,        -- misaligned load data address
496
    ma_store_o     => ma_store,       -- misaligned store data address
497
    be_load_o      => be_load,        -- bus error on load data access
498
    be_store_o     => be_store,       -- bus error on store data access
499 15 zero_gravi
    -- physical memory protection --
500
    pmp_addr_i     => pmp_addr,       -- addresses
501
    pmp_ctrl_i     => pmp_ctrl,       -- configs
502 12 zero_gravi
    -- instruction bus --
503
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
504
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
505
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
506
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
507
    i_bus_we_o     => i_bus_we_o,     -- write enable
508
    i_bus_re_o     => i_bus_re_o,     -- read enable
509 57 zero_gravi
    i_bus_lock_o   => i_bus_lock_o,   -- exclusive access request
510 12 zero_gravi
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
511
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
512
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
513
    -- data bus --
514
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
515
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
516
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
517
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
518
    d_bus_we_o     => d_bus_we_o,     -- write enable
519
    d_bus_re_o     => d_bus_re_o,     -- read enable
520 57 zero_gravi
    d_bus_lock_o   => d_bus_lock_o,   -- exclusive access request
521 12 zero_gravi
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
522
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
523 57 zero_gravi
    d_bus_fence_o  => d_bus_fence_o   -- fence operation
524 2 zero_gravi
  );
525
 
526 35 zero_gravi
  -- current privilege level --
527 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
528
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
529 2 zero_gravi
 
530 35 zero_gravi
 
531 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.