OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 47 zero_gravi
-- # * neorv32_cpu.vhd                   - CPU top entity                                          #
6
-- #   * neorv32_cpu_alu.vhd             - Arithmetic/logic unit                                   #
7 63 zero_gravi
-- #     * neorv32_cpu_cp_bitmanip.vhd   - Bit-manipulation co-processor                           #
8
-- #     * neorv32_cpu_cp_fpu.vhd        - Single-precision FPU co-processor                       #
9
-- #     * neorv32_cpu_cp_muldiv.vhd     - Integer multiplier/divider co-processor                 #
10
-- #     * neorv32_cpu_cp_shifter.vhd    - Base ISA shifter unit                                   #
11 47 zero_gravi
-- #   * neorv32_cpu_bus.vhd             - Instruction and data bus interface unit                 #
12 63 zero_gravi
-- #   * neorv32_cpu_control.vhd         - CPU control and CSR system                              #
13 47 zero_gravi
-- #     * neorv32_cpu_decompressor.vhd  - Compressed instructions decoder                         #
14
-- #   * neorv32_cpu_regfile.vhd         - Data register file                                      #
15 56 zero_gravi
-- # * neorv32_package.vhd               - Main CPU & Processor package file                       #
16 38 zero_gravi
-- #                                                                                               #
17 63 zero_gravi
-- # Check out the CPU's online documentation for more information:                                #
18
-- #  HQ:         https://github.com/stnolting/neorv32                                             #
19
-- #  Data Sheet: https://stnolting.github.io/neorv32                                              #
20
-- #  User Guide: https://stnolting.github.io/neorv32/ug                                           #
21 2 zero_gravi
-- # ********************************************************************************************* #
22
-- # BSD 3-Clause License                                                                          #
23
-- #                                                                                               #
24 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
25 2 zero_gravi
-- #                                                                                               #
26
-- # Redistribution and use in source and binary forms, with or without modification, are          #
27
-- # permitted provided that the following conditions are met:                                     #
28
-- #                                                                                               #
29
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
30
-- #    conditions and the following disclaimer.                                                   #
31
-- #                                                                                               #
32
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
33
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
34
-- #    provided with the distribution.                                                            #
35
-- #                                                                                               #
36
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
37
-- #    endorse or promote products derived from this software without specific prior written      #
38
-- #    permission.                                                                                #
39
-- #                                                                                               #
40
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
41
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
42
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
43
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
44
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
45
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
46
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
47
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
48
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
49
-- # ********************************************************************************************* #
50
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
51
-- #################################################################################################
52
 
53
library ieee;
54
use ieee.std_logic_1164.all;
55
use ieee.numeric_std.all;
56
 
57
library neorv32;
58
use neorv32.neorv32_package.all;
59
 
60
entity neorv32_cpu is
61
  generic (
62
    -- General --
63 62 zero_gravi
    HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
64
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
65
    CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
66 2 zero_gravi
    -- RISC-V CPU Extensions --
67 62 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
68
    CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
69
    CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
70
    CPU_EXTENSION_RISCV_M        : boolean; -- implement muld/div extension?
71
    CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
72 63 zero_gravi
    CPU_EXTENSION_RISCV_Zbb      : boolean; -- implement basic bit-manipulation sub-extension?
73 62 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
74
    CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
75
    CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
76
    CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
77
    CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
78 19 zero_gravi
    -- Extension Options --
79 62 zero_gravi
    FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
80
    FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
81
    CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
82
    CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
83 15 zero_gravi
    -- Physical Memory Protection (PMP) --
84 62 zero_gravi
    PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
85
    PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
86 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
87 62 zero_gravi
    HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
88
    HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
89 2 zero_gravi
  );
90
  port (
91
    -- global control --
92 62 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
93
    rstn_i         : in  std_ulogic; -- global reset, low-active, async
94 47 zero_gravi
    sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
95 12 zero_gravi
    -- instruction bus interface --
96
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
97 62 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
98 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
99
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
100
    i_bus_we_o     : out std_ulogic; -- write enable
101
    i_bus_re_o     : out std_ulogic; -- read enable
102 57 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- exclusive access request
103 62 zero_gravi
    i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
104
    i_bus_err_i    : in  std_ulogic; -- bus transfer error
105 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
106 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
107 12 zero_gravi
    -- data bus interface --
108
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
109 62 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
110 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
111
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
112
    d_bus_we_o     : out std_ulogic; -- write enable
113
    d_bus_re_o     : out std_ulogic; -- read enable
114 57 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- exclusive access request
115 62 zero_gravi
    d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
116
    d_bus_err_i    : in  std_ulogic; -- bus transfer error
117 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
118 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
119 11 zero_gravi
    -- system time input from MTIME --
120 62 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0); -- current system time
121 14 zero_gravi
    -- interrupts (risc-v compliant) --
122 62 zero_gravi
    msw_irq_i      : in  std_ulogic;-- machine software interrupt
123
    mext_irq_i     : in  std_ulogic;-- machine external interrupt
124
    mtime_irq_i    : in  std_ulogic;-- machine timer interrupt
125 14 zero_gravi
    -- fast interrupts (custom) --
126 62 zero_gravi
    firq_i         : in  std_ulogic_vector(15 downto 0);
127 59 zero_gravi
    -- debug mode (halt) request --
128 62 zero_gravi
    db_halt_req_i  : in  std_ulogic
129 2 zero_gravi
  );
130
end neorv32_cpu;
131
 
132
architecture neorv32_cpu_rtl of neorv32_cpu is
133
 
134
  -- local signals --
135 60 zero_gravi
  signal ctrl       : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
136
  signal comparator : std_ulogic_vector(1 downto 0); -- comparator result
137
  signal imm        : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
138
  signal instr      : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
139
  signal rs1, rs2   : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
140
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
141
  signal alu_add    : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
142
  signal mem_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
143 61 zero_gravi
  signal alu_idone  : std_ulogic; -- iterative alu operation done
144 60 zero_gravi
  signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch
145
  signal bus_d_wait : std_ulogic; -- wait for current bus data access
146
  signal csr_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
147
  signal mar        : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
148
  signal ma_instr   : std_ulogic; -- misaligned instruction address
149
  signal ma_load    : std_ulogic; -- misaligned load data address
150
  signal ma_store   : std_ulogic; -- misaligned store data address
151
  signal excl_state : std_ulogic; -- atomic/exclusive access lock status
152
  signal be_instr   : std_ulogic; -- bus error on instruction access
153
  signal be_load    : std_ulogic; -- bus error on load data access
154
  signal be_store   : std_ulogic; -- bus error on store data access
155
  signal fetch_pc   : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
156
  signal curr_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
157
  signal fpu_flags  : std_ulogic_vector(4 downto 0); -- FPU exception flags
158 2 zero_gravi
 
159 15 zero_gravi
  -- pmp interface --
160 61 zero_gravi
  signal pmp_addr : pmp_addr_if_t;
161
  signal pmp_ctrl : pmp_ctrl_if_t;
162 15 zero_gravi
 
163 2 zero_gravi
begin
164
 
165 61 zero_gravi
  -- CPU ISA Configuration ---------------------------------------------------------------------------
166
  -- -------------------------------------------------------------------------------------------
167
  assert false report
168
  "NEORV32 CPU ISA Configuration (MARCH): " &
169
  cond_sel_string_f(CPU_EXTENSION_RISCV_E, "RV32E", "RV32I") &
170
  cond_sel_string_f(CPU_EXTENSION_RISCV_M, "M", "") &
171
  cond_sel_string_f(CPU_EXTENSION_RISCV_A, "A", "") &
172
  cond_sel_string_f(CPU_EXTENSION_RISCV_C, "C", "") &
173
  cond_sel_string_f(CPU_EXTENSION_RISCV_U, "U", "") &
174 63 zero_gravi
  cond_sel_string_f(CPU_EXTENSION_RISCV_Zbb, "_Zbb", "") &
175 61 zero_gravi
  cond_sel_string_f(CPU_EXTENSION_RISCV_Zicsr, "_Zicsr", "") &
176
  cond_sel_string_f(CPU_EXTENSION_RISCV_Zifencei, "_Zifencei", "") &
177
  cond_sel_string_f(CPU_EXTENSION_RISCV_Zfinx, "_Zfinx", "") &
178
  cond_sel_string_f(CPU_EXTENSION_RISCV_Zmmul, "_Zmmul", "") &
179
  cond_sel_string_f(CPU_EXTENSION_RISCV_DEBUG, "_Debug", "") &
180
  ""
181
  severity note;
182
 
183
 
184 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
185
  -- -------------------------------------------------------------------------------------------
186 56 zero_gravi
  -- hardware reset notifier --
187 61 zero_gravi
  assert not (dedicated_reset_c = false) report "NEORV32 CPU CONFIG NOTE: Implementing NO dedicated hardware reset for uncritical registers (default, might reduce area). Set package constant <dedicated_reset_c> = TRUE to configure a DEFINED reset value for all CPU registers." severity note;
188
  assert not (dedicated_reset_c = true)  report "NEORV32 CPU CONFIG NOTE: Implementing defined hardware reset for uncritical registers (non-default, reset-to-zero, might increase area)." severity note;
189 56 zero_gravi
  assert not ((def_rst_val_c /= '-') and (def_rst_val_c /= '0')) report "NEORV32 CPU CONFIG ERROR! Invalid configuration of package <def_rst_val_c> constant (has to be '-' or '0')." severity error;
190
 
191 23 zero_gravi
  -- CSR system --
192 56 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when <CPU_EXTENSION_RISCV_Zicsr> = false." severity warning;
193
 
194
  -- CPU counters (cycle and instret) --
195
  assert not ((CPU_CNT_WIDTH < 0) or (CPU_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! Invalid <CPU_CNT_WIDTH> configuration. Has to be 0..64." severity error;
196
  assert not (CPU_CNT_WIDTH < 64) report "NEORV32 CPU CONFIG WARNING! Implementing CPU <cycle> and <instret> CSRs with reduced size (" & integer'image(CPU_CNT_WIDTH) & "-bit instead of 64-bit). This is not RISC-V compliant and might have unintended SW side effects." severity warning;
197
 
198 23 zero_gravi
  -- U-extension requires Zicsr extension --
199 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
200 40 zero_gravi
 
201 38 zero_gravi
  -- Instruction prefetch buffer size --
202 62 zero_gravi
  assert not (is_power_of_two_f(CPU_IPB_ENTRIES) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <CPU_IPB_ENTRIES> has to be a power of two." severity error;
203 15 zero_gravi
 
204 55 zero_gravi
  -- Co-processor timeout counter (for debugging only) --
205
  assert not (cp_timeout_en_c = true) report "NEORV32 CPU CONFIG WARNING! Co-processor timeout counter enabled. This should be used for debugging/simulation only." severity warning;
206 52 zero_gravi
 
207 40 zero_gravi
  -- PMP regions check --
208 63 zero_gravi
  assert not (PMP_NUM_REGIONS > 64) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <PMP_NUM_REGIONS> out of valid range (0..64)." severity error;
209 59 zero_gravi
  -- PMP granularity --
210 56 zero_gravi
  assert not ((is_power_of_two_f(PMP_MIN_GRANULARITY) = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be a power of two." severity error;
211
  assert not ((PMP_MIN_GRANULARITY < 8) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be >= 8 bytes." severity error;
212
  -- PMP requires Zicsr extension --
213
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
214 40 zero_gravi
 
215 42 zero_gravi
  -- HPM counters check --
216
  assert not (HPM_NUM_CNTS > 29) report "NEORV32 CPU CONFIG ERROR! Number of HPM counters <HPM_NUM_CNTS> out of valid range (0..29)." severity error;
217 60 zero_gravi
  assert not ((HPM_CNT_WIDTH < 0) or (HPM_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! HPM counter width <HPM_CNT_WIDTH> has to be 0..64 bit." severity error;
218 44 zero_gravi
  -- HPM CNT requires Zicsr extension --
219 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (HPM_NUM_CNTS > 0)) report "NEORV32 CPU CONFIG ERROR! Hardware performance monitors (HPM) require <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
220 41 zero_gravi
 
221 61 zero_gravi
  -- Mul-extension --
222 63 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zmmul = true) and (CPU_EXTENSION_RISCV_M = true)) report "NEORV32 CPU CONFIG ERROR! <M> and <Zmmul> extensions cannot co-exist!" severity error;
223 61 zero_gravi
 
224 59 zero_gravi
  -- Debug mode --
225
  assert not ((CPU_EXTENSION_RISCV_DEBUG = true) and (CPU_EXTENSION_RISCV_Zicsr = false)) report "NEORV32 CPU CONFIG ERROR! Debug mode requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
226 64 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_DEBUG = true) and (CPU_EXTENSION_RISCV_Zifencei = false)) report "NEORV32 CPU CONFIG ERROR! Debug mode requires <CPU_EXTENSION_RISCV_Zifencei> extension to be enabled." severity error;
227 42 zero_gravi
 
228 63 zero_gravi
  -- fast multiplication option --
229
  assert not (FAST_MUL_EN = true) report "NEORV32 CPU CONFIG NOTE: <FAST_MUL_EN> set. Trying to use DSP blocks for base ISA multiplications." severity note;
230 59 zero_gravi
 
231 63 zero_gravi
  -- fast shift option --
232
  assert not (FAST_SHIFT_EN = true) report "NEORV32 CPU CONFIG NOTE: <FAST_SHIFT_EN> set. Implementing full-parallel logic / barrel shifters." severity note;
233
 
234
 
235 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
236
  -- -------------------------------------------------------------------------------------------
237
  neorv32_cpu_control_inst: neorv32_cpu_control
238
  generic map (
239
    -- General --
240 59 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,                 -- hardware thread id
241
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR,                -- cpu boot address
242
    CPU_DEBUG_ADDR               => CPU_DEBUG_ADDR,               -- cpu debug mode start address
243 2 zero_gravi
    -- RISC-V CPU Extensions --
244 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
245 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
246 62 zero_gravi
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
247
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement mul/div extension?
248 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
249 63 zero_gravi
    CPU_EXTENSION_RISCV_Zbb      => CPU_EXTENSION_RISCV_Zbb,      -- implement basic bit-manipulation sub-extension?
250 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
251 15 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
252
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
253 62 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
254 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    => CPU_EXTENSION_RISCV_DEBUG,    -- implement CPU debug mode?
255 56 zero_gravi
    -- Extension Options --
256
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,                -- total width of CPU cycle and instret counters (0..64)
257 62 zero_gravi
    CPU_IPB_ENTRIES              => CPU_IPB_ENTRIES,              -- entries is instruction prefetch buffer, has to be a power of 2
258 15 zero_gravi
    -- Physical memory protection (PMP) --
259 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,              -- number of regions (0..64)
260
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,          -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
261
    -- Hardware Performance Monitors (HPM) --
262 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,                 -- number of implemented HPM counters (0..29)
263
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH                 -- total size of HPM counters
264 2 zero_gravi
  )
265
  port map (
266
    -- global control --
267
    clk_i         => clk_i,       -- global clock, rising edge
268
    rstn_i        => rstn_i,      -- global reset, low-active, async
269
    ctrl_o        => ctrl,        -- main control bus
270
    -- status input --
271 61 zero_gravi
    alu_idone_i   => alu_idone,   -- ALU iterative operation done
272 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
273
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
274 57 zero_gravi
    excl_state_i  => excl_state,  -- atomic/exclusive access lock status
275 2 zero_gravi
    -- data input --
276
    instr_i       => instr,       -- instruction
277 47 zero_gravi
    cmp_i         => comparator,  -- comparator status
278 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
279
    rs1_i         => rs1,         -- rf source 1
280 2 zero_gravi
    -- data output --
281
    imm_o         => imm,         -- immediate
282 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
283
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
284 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
285 52 zero_gravi
    -- FPU interface --
286
    fpu_flags_i   => fpu_flags,   -- exception flags
287 59 zero_gravi
    -- debug mode (halt) request --
288
    db_halt_req_i => db_halt_req_i,
289 14 zero_gravi
    -- interrupts (risc-v compliant) --
290
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
291
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
292 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
293 14 zero_gravi
    -- fast interrupts (custom) --
294 47 zero_gravi
    firq_i        => firq_i,      -- fast interrupt trigger
295 11 zero_gravi
    -- system time input from MTIME --
296
    time_i        => time_i,      -- current system time
297 15 zero_gravi
    -- physical memory protection --
298
    pmp_addr_o    => pmp_addr,    -- addresses
299
    pmp_ctrl_o    => pmp_ctrl,    -- configs
300 2 zero_gravi
    -- bus access exceptions --
301
    mar_i         => mar,         -- memory address register
302
    ma_instr_i    => ma_instr,    -- misaligned instruction address
303
    ma_load_i     => ma_load,     -- misaligned load data address
304
    ma_store_i    => ma_store,    -- misaligned store data address
305
    be_instr_i    => be_instr,    -- bus error on instruction access
306
    be_load_i     => be_load,     -- bus error on load data access
307 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
308 2 zero_gravi
  );
309
 
310 47 zero_gravi
  -- CPU is sleeping? --
311
  sleep_o <= ctrl(ctrl_sleep_c); -- set when CPU is sleeping (after WFI)
312 2 zero_gravi
 
313 47 zero_gravi
 
314 2 zero_gravi
  -- Register File --------------------------------------------------------------------------
315
  -- -------------------------------------------------------------------------------------------
316 45 zero_gravi
  neorv32_cpu_regfile_inst: neorv32_cpu_regfile
317 2 zero_gravi
  generic map (
318
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
319
  )
320
  port map (
321
    -- global control --
322
    clk_i  => clk_i,              -- global clock, rising edge
323
    ctrl_i => ctrl,               -- main control bus
324
    -- data input --
325 52 zero_gravi
    mem_i  => mem_rdata,          -- memory read data
326 2 zero_gravi
    alu_i  => alu_res,            -- ALU result
327
    -- data output --
328
    rs1_o  => rs1,                -- operand 1
329 65 zero_gravi
    rs2_o  => rs2                 -- operand 2
330 2 zero_gravi
  );
331
 
332
 
333
  -- ALU ------------------------------------------------------------------------------------
334
  -- -------------------------------------------------------------------------------------------
335
  neorv32_cpu_alu_inst: neorv32_cpu_alu
336 11 zero_gravi
  generic map (
337 61 zero_gravi
    -- RISC-V CPU Extensions --
338
    CPU_EXTENSION_RISCV_M     => CPU_EXTENSION_RISCV_M,     -- implement mul/div extension?
339 63 zero_gravi
    CPU_EXTENSION_RISCV_Zbb   => CPU_EXTENSION_RISCV_Zbb,   -- implement basic bit-manipulation sub-extension?
340 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul => CPU_EXTENSION_RISCV_Zmmul, -- implement multiply-only M sub-extension?
341
    CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT reg!)
342
    -- Extension Options --
343
    FAST_MUL_EN               => FAST_MUL_EN,               -- use DSPs for M extension's multiplier
344
    FAST_SHIFT_EN             => FAST_SHIFT_EN              -- use barrel shifter for shift operations
345 11 zero_gravi
  )
346 2 zero_gravi
  port map (
347
    -- global control --
348
    clk_i       => clk_i,         -- global clock, rising edge
349
    rstn_i      => rstn_i,        -- global reset, low-active, async
350
    ctrl_i      => ctrl,          -- main control bus
351
    -- data input --
352
    rs1_i       => rs1,           -- rf source 1
353
    rs2_i       => rs2,           -- rf source 2
354 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
355 2 zero_gravi
    imm_i       => imm,           -- immediate
356 61 zero_gravi
    csr_i       => csr_rdata,     -- CSR read data
357 2 zero_gravi
    -- data output --
358 65 zero_gravi
    cmp_o       => comparator,    -- comparator status
359 2 zero_gravi
    res_o       => alu_res,       -- ALU result
360 36 zero_gravi
    add_o       => alu_add,       -- address computation result
361 61 zero_gravi
    fpu_flags_o => fpu_flags,     -- FPU exception flags
362 2 zero_gravi
    -- status --
363 61 zero_gravi
    idone_o     => alu_idone      -- iterative processing units done?
364 2 zero_gravi
  );
365
 
366
 
367 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
368 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
369
  neorv32_cpu_bus_inst: neorv32_cpu_bus
370
  generic map (
371 53 zero_gravi
    CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension?
372 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
373 15 zero_gravi
    -- Physical memory protection (PMP) --
374 42 zero_gravi
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS,       -- number of regions (0..64)
375 57 zero_gravi
    PMP_MIN_GRANULARITY   => PMP_MIN_GRANULARITY    -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
376 2 zero_gravi
  )
377
  port map (
378
    -- global control --
379 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
380 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
381 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
382
    -- cpu instruction fetch interface --
383
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
384
    instr_o        => instr,          -- instruction
385
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
386
    --
387
    ma_instr_o     => ma_instr,       -- misaligned instruction address
388
    be_instr_o     => be_instr,       -- bus error on instruction access
389
    -- cpu data access interface --
390 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
391 53 zero_gravi
    wdata_i        => rs2,            -- write data
392 52 zero_gravi
    rdata_o        => mem_rdata,      -- read data
393 12 zero_gravi
    mar_o          => mar,            -- current memory address register
394
    d_wait_o       => bus_d_wait,     -- wait for access to complete
395
    --
396 57 zero_gravi
    excl_state_o   => excl_state,     -- atomic/exclusive access status
397 12 zero_gravi
    ma_load_o      => ma_load,        -- misaligned load data address
398
    ma_store_o     => ma_store,       -- misaligned store data address
399
    be_load_o      => be_load,        -- bus error on load data access
400
    be_store_o     => be_store,       -- bus error on store data access
401 15 zero_gravi
    -- physical memory protection --
402
    pmp_addr_i     => pmp_addr,       -- addresses
403 61 zero_gravi
    pmp_ctrl_i     => pmp_ctrl,       -- configurations
404 12 zero_gravi
    -- instruction bus --
405
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
406
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
407
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
408
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
409
    i_bus_we_o     => i_bus_we_o,     -- write enable
410
    i_bus_re_o     => i_bus_re_o,     -- read enable
411 57 zero_gravi
    i_bus_lock_o   => i_bus_lock_o,   -- exclusive access request
412 12 zero_gravi
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
413
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
414
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
415
    -- data bus --
416
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
417
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
418
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
419
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
420
    d_bus_we_o     => d_bus_we_o,     -- write enable
421
    d_bus_re_o     => d_bus_re_o,     -- read enable
422 57 zero_gravi
    d_bus_lock_o   => d_bus_lock_o,   -- exclusive access request
423 12 zero_gravi
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
424
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
425 57 zero_gravi
    d_bus_fence_o  => d_bus_fence_o   -- fence operation
426 2 zero_gravi
  );
427
 
428 35 zero_gravi
  -- current privilege level --
429 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
430
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
431 2 zero_gravi
 
432 35 zero_gravi
 
433 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.