OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_alu.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Arithmetical/Logical Unit >>                                                     #
3
-- # ********************************************************************************************* #
4 20 zero_gravi
-- # Main data and address ALU. Includes comparator unit and co-processor interface/arbiter.       #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
9
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_alu is
45 11 zero_gravi
  generic (
46 34 zero_gravi
    CPU_EXTENSION_RISCV_M : boolean := true; -- implement muld/div extension?
47
    FAST_SHIFT_EN         : boolean := false -- use barrel shifter for shift operations
48 11 zero_gravi
  );
49 2 zero_gravi
  port (
50
    -- global control --
51
    clk_i       : in  std_ulogic; -- global clock, rising edge
52
    rstn_i      : in  std_ulogic; -- global reset, low-active, async
53
    ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
54
    -- data input --
55
    rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
56
    rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
57
    pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
58
    imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
59
    -- data output --
60
    cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
61
    res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
62 36 zero_gravi
    add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
63
    opb_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand B
64 2 zero_gravi
    -- co-processor interface --
65 19 zero_gravi
    cp0_start_o : out std_ulogic; -- trigger co-processor 0
66 2 zero_gravi
    cp0_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 0 result
67
    cp0_valid_i : in  std_ulogic; -- co-processor 0 result valid
68 19 zero_gravi
    cp1_start_o : out std_ulogic; -- trigger co-processor 1
69 2 zero_gravi
    cp1_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 1 result
70
    cp1_valid_i : in  std_ulogic; -- co-processor 1 result valid
71 36 zero_gravi
    cp2_start_o : out std_ulogic; -- trigger co-processor 2
72
    cp2_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 2 result
73
    cp2_valid_i : in  std_ulogic; -- co-processor 2 result valid
74
    cp3_start_o : out std_ulogic; -- trigger co-processor 3
75
    cp3_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 3 result
76
    cp3_valid_i : in  std_ulogic; -- co-processor 3 result valid
77 2 zero_gravi
    -- status --
78
    wait_o      : out std_ulogic -- busy due to iterative processing units
79
  );
80
end neorv32_cpu_alu;
81
 
82
architecture neorv32_cpu_cpu_rtl of neorv32_cpu_alu is
83
 
84
  -- operands --
85 29 zero_gravi
  signal opa, opb : std_ulogic_vector(data_width_c-1 downto 0);
86 2 zero_gravi
 
87
  -- results --
88 36 zero_gravi
  signal addsub_res : std_ulogic_vector(data_width_c downto 0);
89 39 zero_gravi
  --
90 29 zero_gravi
  signal cp_res     : std_ulogic_vector(data_width_c-1 downto 0);
91 39 zero_gravi
  signal arith_res  : std_ulogic_vector(data_width_c-1 downto 0);
92
  signal logic_res  : std_ulogic_vector(data_width_c-1 downto 0);
93 2 zero_gravi
 
94
  -- comparator --
95 36 zero_gravi
  signal cmp_opx : std_ulogic_vector(data_width_c downto 0);
96
  signal cmp_opy : std_ulogic_vector(data_width_c downto 0);
97
  signal cmp_sub : std_ulogic_vector(data_width_c downto 0);
98 2 zero_gravi
 
99
  -- shifter --
100 12 zero_gravi
  type shifter_t is record
101 34 zero_gravi
    cmd     : std_ulogic;
102
    cmd_ff  : std_ulogic;
103
    start   : std_ulogic;
104
    run     : std_ulogic;
105
    halt    : std_ulogic;
106
    cnt     : std_ulogic_vector(4 downto 0);
107
    sreg    : std_ulogic_vector(data_width_c-1 downto 0);
108
    -- for barrel shifter only --
109
    bs_a_in : std_ulogic_vector(4 downto 0);
110
    bs_d_in : std_ulogic_vector(data_width_c-1 downto 0);
111 12 zero_gravi
  end record;
112
  signal shifter : shifter_t;
113 2 zero_gravi
 
114 19 zero_gravi
  -- co-processor arbiter and interface --
115
  type cp_ctrl_t is record
116 29 zero_gravi
    cmd    : std_ulogic;
117 19 zero_gravi
    cmd_ff : std_ulogic;
118
    busy   : std_ulogic;
119
    start  : std_ulogic;
120
    halt   : std_ulogic;
121
  end record;
122
  signal cp_ctrl : cp_ctrl_t;
123 2 zero_gravi
 
124
begin
125
 
126
  -- Operand Mux ----------------------------------------------------------------------------
127
  -- -------------------------------------------------------------------------------------------
128 36 zero_gravi
  opa <= pc2_i when (ctrl_i(ctrl_alu_opa_mux_c) = '1') else rs1_i; -- operand a (first ALU input operand), only required for arithmetic ops
129 29 zero_gravi
  opb <= imm_i when (ctrl_i(ctrl_alu_opb_mux_c) = '1') else rs2_i; -- operand b (second ALU input operand)
130 36 zero_gravi
  --
131
  opb_o <= opb;
132 2 zero_gravi
 
133
 
134
  -- Comparator Unit ------------------------------------------------------------------------
135
  -- -------------------------------------------------------------------------------------------
136
  cmp_opx  <= (rs1_i(rs1_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs1_i;
137 29 zero_gravi
  cmp_opy  <= (rs2_i(rs2_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs2_i;
138
  cmp_sub  <= std_ulogic_vector(signed(cmp_opx) - signed(cmp_opy)); -- less than (x < y)
139 2 zero_gravi
 
140 29 zero_gravi
  cmp_o(alu_cmp_equal_c) <= '1' when (rs1_i = rs2_i) else '0';
141
  cmp_o(alu_cmp_less_c)  <= cmp_sub(cmp_sub'left); -- less = carry (borrow)
142 2 zero_gravi
 
143
 
144 29 zero_gravi
  -- Binary Adder/Subtractor ----------------------------------------------------------------
145 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
146 29 zero_gravi
  binary_arithmetic_core: process(ctrl_i, opa, opb)
147
    variable cin_v  : std_ulogic_vector(0 downto 0);
148
    variable op_a_v : std_ulogic_vector(data_width_c downto 0);
149
    variable op_b_v : std_ulogic_vector(data_width_c downto 0);
150
    variable op_y_v : std_ulogic_vector(data_width_c downto 0);
151
    variable res_v  : std_ulogic_vector(data_width_c downto 0);
152
  begin
153
    -- operand sign-extension --
154
    op_a_v := (opa(opa'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opa;
155
    op_b_v := (opb(opb'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opb;
156 2 zero_gravi
 
157 29 zero_gravi
    -- add/sub(slt) select --
158
    if (ctrl_i(ctrl_alu_addsub_c) = '1') then -- subtraction
159
      op_y_v   := not op_b_v;
160
      cin_v(0) := '1';
161 36 zero_gravi
    else -- addition
162 29 zero_gravi
      op_y_v   := op_b_v;
163
      cin_v(0) := '0';
164
    end if;
165 2 zero_gravi
 
166 36 zero_gravi
    -- adder core (result + carry/borrow) --
167
    addsub_res <= std_ulogic_vector(unsigned(op_a_v) + unsigned(op_y_v) + unsigned(cin_v(0 downto 0)));
168 29 zero_gravi
  end process binary_arithmetic_core;
169
 
170 36 zero_gravi
  -- direct output of address result --
171
  add_o <= addsub_res(data_width_c-1 downto 0);
172 29 zero_gravi
 
173 39 zero_gravi
  -- ALU arithmetic logic core --
174
  arithmetic_core: process(ctrl_i, addsub_res)
175
  begin
176
    if (ctrl_i(ctrl_alu_arith_c) = alu_arith_cmd_addsub_c) then -- ADD/SUB
177
      arith_res <= addsub_res(data_width_c-1 downto 0);
178
    else -- SLT
179
      arith_res <= (others => '0');
180
      arith_res(0) <= addsub_res(addsub_res'left); -- => carry/borrow
181
    end if;
182
  end process arithmetic_core;
183 36 zero_gravi
 
184 39 zero_gravi
 
185 34 zero_gravi
  -- Shifter Unit ---------------------------------------------------------------------------
186 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
187 36 zero_gravi
  shifter_unit: process(clk_i)
188 34 zero_gravi
    variable bs_input_v   : std_ulogic_vector(data_width_c-1 downto 0);
189
    variable bs_level_4_v : std_ulogic_vector(data_width_c-1 downto 0);
190
    variable bs_level_3_v : std_ulogic_vector(data_width_c-1 downto 0);
191
    variable bs_level_2_v : std_ulogic_vector(data_width_c-1 downto 0);
192
    variable bs_level_1_v : std_ulogic_vector(data_width_c-1 downto 0);
193
    variable bs_level_0_v : std_ulogic_vector(data_width_c-1 downto 0);
194 2 zero_gravi
  begin
195 36 zero_gravi
    if rising_edge(clk_i) then
196 12 zero_gravi
      shifter.cmd_ff <= shifter.cmd;
197 34 zero_gravi
 
198
      -- --------------------------------------------------------------------------------
199
      -- Iterative shifter (small but slow) (default)
200
      -- --------------------------------------------------------------------------------
201
      if (FAST_SHIFT_EN = false) then
202
 
203
        if (shifter.start = '1') then -- trigger new shift
204 36 zero_gravi
          shifter.sreg <= rs1_i; -- shift operand (can only be rs1; opa would also contain pc)
205 34 zero_gravi
          shifter.cnt  <= opb(index_size_f(data_width_c)-1 downto 0); -- shift amount
206
        elsif (shifter.run = '1') then -- running shift
207
          -- coarse shift: multiples of 4 --
208
          if (or_all_f(shifter.cnt(shifter.cnt'left downto 2)) = '1') then -- shift amount >= 4
209
            shifter.cnt <= std_ulogic_vector(unsigned(shifter.cnt) - 4);
210
            if (ctrl_i(ctrl_alu_shift_dir_c) = '0') then -- SLL: shift left logical
211
              shifter.sreg <= shifter.sreg(shifter.sreg'left-4 downto 0) & "0000";
212
            else -- SRL: shift right logical / SRA: shift right arithmetical
213
              shifter.sreg <= (shifter.sreg(shifter.sreg'left) and ctrl_i(ctrl_alu_shift_ar_c)) &
214
                              (shifter.sreg(shifter.sreg'left) and ctrl_i(ctrl_alu_shift_ar_c)) &
215
                              (shifter.sreg(shifter.sreg'left) and ctrl_i(ctrl_alu_shift_ar_c)) &
216
                              (shifter.sreg(shifter.sreg'left) and ctrl_i(ctrl_alu_shift_ar_c)) & shifter.sreg(shifter.sreg'left downto 4);
217
            end if;
218
          -- fine shift: single shifts, 0..3 times --
219
          else
220
            shifter.cnt <= std_ulogic_vector(unsigned(shifter.cnt) - 1);
221
            if (ctrl_i(ctrl_alu_shift_dir_c) = '0') then -- SLL: shift left logical
222
              shifter.sreg <= shifter.sreg(shifter.sreg'left-1 downto 0) & '0';
223
            else -- SRL: shift right logical / SRA: shift right arithmetical
224
              shifter.sreg <= (shifter.sreg(shifter.sreg'left) and ctrl_i(ctrl_alu_shift_ar_c)) & shifter.sreg(shifter.sreg'left downto 1);
225
            end if;
226 12 zero_gravi
          end if;
227 34 zero_gravi
        end if;
228
 
229
      -- --------------------------------------------------------------------------------
230
      -- Barrel shifter (huge but fast)
231
      -- --------------------------------------------------------------------------------
232
      else
233
 
234
        -- operands and cycle control --
235
        if (shifter.start = '1') then -- trigger new shift
236 36 zero_gravi
          shifter.bs_d_in <= rs1_i; -- shift operand (can only be rs1; opa would also contain pc)
237 34 zero_gravi
          shifter.bs_a_in <= opb(index_size_f(data_width_c)-1 downto 0); -- shift amount
238
          shifter.cnt     <= (others => '0');
239
        end if;
240
 
241
        -- convert left shifts to right shifts --
242
        if (ctrl_i(ctrl_alu_shift_dir_c) = '0') then -- is left shift?
243
          bs_input_v := bit_rev_f(shifter.bs_d_in); -- reverse bit order of input operand
244 12 zero_gravi
        else
245 34 zero_gravi
          bs_input_v := shifter.bs_d_in;
246 2 zero_gravi
        end if;
247 34 zero_gravi
        -- shift >> 16 --
248
        if (shifter.bs_a_in(4) = '1') then
249
          bs_level_4_v(31 downto 16) := (others => (bs_input_v(bs_input_v'left) and ctrl_i(ctrl_alu_shift_ar_c)));
250
          bs_level_4_v(15 downto 00) := (bs_input_v(31 downto 16));
251
        else
252
          bs_level_4_v := bs_input_v;
253
        end if;
254
        -- shift >> 8 --
255
        if (shifter.bs_a_in(3) = '1') then
256
          bs_level_3_v(31 downto 24) := (others => (bs_input_v(bs_input_v'left) and ctrl_i(ctrl_alu_shift_ar_c)));
257
          bs_level_3_v(23 downto 00) := (bs_level_4_v(31 downto 8));
258
        else
259
          bs_level_3_v := bs_level_4_v;
260
        end if;
261
        -- shift >> 4 --
262
        if (shifter.bs_a_in(2) = '1') then
263
          bs_level_2_v(31 downto 28) := (others => (bs_input_v(bs_input_v'left) and ctrl_i(ctrl_alu_shift_ar_c)));
264
          bs_level_2_v(27 downto 00) := (bs_level_3_v(31 downto 4));
265
        else
266
          bs_level_2_v := bs_level_3_v;
267
        end if;
268
        -- shift >> 2 --
269
        if (shifter.bs_a_in(1) = '1') then
270
          bs_level_1_v(31 downto 30) := (others => (bs_input_v(bs_input_v'left) and ctrl_i(ctrl_alu_shift_ar_c)));
271
          bs_level_1_v(29 downto 00) := (bs_level_2_v(31 downto 2));
272
        else
273
          bs_level_1_v := bs_level_2_v;
274
        end if;
275
        -- shift >> 1 --
276
        if (shifter.bs_a_in(0) = '1') then
277
          bs_level_0_v(31 downto 31) := (others => (bs_input_v(bs_input_v'left) and ctrl_i(ctrl_alu_shift_ar_c)));
278
          bs_level_0_v(30 downto 00) := (bs_level_1_v(31 downto 1));
279
        else
280
          bs_level_0_v := bs_level_1_v;
281
        end if;
282
        -- re-convert original left shifts --
283
        if (ctrl_i(ctrl_alu_shift_dir_c) = '0') then
284
          shifter.sreg <= bit_rev_f(bs_level_0_v);
285
        else
286
          shifter.sreg <= bs_level_0_v;
287
        end if;
288 2 zero_gravi
      end if;
289
    end if;
290
  end process shifter_unit;
291
 
292
  -- is shift operation? --
293 39 zero_gravi
  shifter.cmd   <= '1' when (ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) = alu_func_cmd_shift_c) else '0';
294 12 zero_gravi
  shifter.start <= '1' when (shifter.cmd = '1') and (shifter.cmd_ff = '0') else '0';
295 2 zero_gravi
 
296
  -- shift operation running? --
297 19 zero_gravi
  shifter.run  <= '1' when (or_all_f(shifter.cnt) = '1') or (shifter.start = '1') else '0';
298
  shifter.halt <= '1' when (or_all_f(shifter.cnt(shifter.cnt'left downto 1)) = '1') or (shifter.start = '1') else '0';
299 2 zero_gravi
 
300
 
301 19 zero_gravi
  -- Coprocessor Arbiter --------------------------------------------------------------------
302 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
303 19 zero_gravi
  cp_arbiter: process(rstn_i, clk_i)
304 2 zero_gravi
  begin
305
    if (rstn_i = '0') then
306 19 zero_gravi
      cp_ctrl.cmd_ff <= '0';
307
      cp_ctrl.busy   <= '0';
308 2 zero_gravi
    elsif rising_edge(clk_i) then
309 40 zero_gravi
      cp_ctrl.cmd_ff <= cp_ctrl.cmd;
310
      if ((cp0_valid_i or cp1_valid_i or cp2_valid_i or cp3_valid_i) = '1') then -- cp computation done?
311
        cp_ctrl.busy <= '0';
312
      elsif (cp_ctrl.start = '1') then
313
        cp_ctrl.busy <= '1';
314 2 zero_gravi
      end if;
315
    end if;
316 19 zero_gravi
  end process cp_arbiter;
317 2 zero_gravi
 
318
  -- is co-processor operation? --
319 39 zero_gravi
  cp_ctrl.cmd   <= '1' when (ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) = alu_func_cmd_copro_c) else '0';
320 29 zero_gravi
  cp_ctrl.start <= '1' when (cp_ctrl.cmd = '1') and (cp_ctrl.cmd_ff = '0') else '0';
321 2 zero_gravi
 
322 39 zero_gravi
  -- co-processor select --
323
  cp0_start_o <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "00") else '0';
324
  cp1_start_o <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "01") else '0';
325
  cp2_start_o <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "10") else '0';
326
  cp3_start_o <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "11") else '0';
327 2 zero_gravi
 
328 39 zero_gravi
  -- co-processor operation (still) running? --
329
  cp_ctrl.halt <= (cp_ctrl.busy and (not (cp0_valid_i or cp1_valid_i or cp2_valid_i or cp3_valid_i))) or cp_ctrl.start;
330
 
331 24 zero_gravi
  -- co-processor result --
332 39 zero_gravi
  cp_read_back: process(clk_i)
333
  begin
334
    if rising_edge(clk_i) then
335
      cp_res <= cp0_data_i or cp1_data_i or cp2_data_i or cp3_data_i; -- only the *actually selected* co-processor may output data != 0
336
    end if;
337
  end process cp_read_back;
338 24 zero_gravi
 
339
 
340 39 zero_gravi
  -- ALU Logic Core -------------------------------------------------------------------------
341
  -- -------------------------------------------------------------------------------------------
342
  alu_logic_core: process(ctrl_i, rs1_i, opb)
343
  begin
344
    case ctrl_i(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) is
345
      when alu_logic_cmd_movb_c => logic_res <= opb; -- (default)
346
      when alu_logic_cmd_xor_c  => logic_res <= rs1_i xor opb; -- only rs1 required for logic ops (opa would also contain pc)
347
      when alu_logic_cmd_or_c   => logic_res <= rs1_i or  opb;
348
      when alu_logic_cmd_and_c  => logic_res <= rs1_i and opb;
349
      when others               => logic_res <= opb; -- undefined
350
    end case;
351
  end process alu_logic_core;
352
 
353
 
354 2 zero_gravi
  -- ALU Function Select --------------------------------------------------------------------
355
  -- -------------------------------------------------------------------------------------------
356 39 zero_gravi
  alu_function_mux: process(ctrl_i, arith_res, logic_res, shifter.sreg, cp_res)
357 2 zero_gravi
  begin
358 39 zero_gravi
    case ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) is
359
      when alu_func_cmd_arith_c => res_o <= arith_res; -- (default)
360
      when alu_func_cmd_logic_c => res_o <= logic_res;
361
      when alu_func_cmd_shift_c => res_o <= shifter.sreg;
362
      when alu_func_cmd_copro_c => res_o <= cp_res;
363
      when others               => res_o <= arith_res; -- undefined
364 2 zero_gravi
    end case;
365
  end process alu_function_mux;
366
 
367
 
368 29 zero_gravi
  -- ALU Busy -------------------------------------------------------------------------------
369 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
370 19 zero_gravi
  wait_o <= shifter.halt or cp_ctrl.halt; -- wait until iterative units have completed
371 2 zero_gravi
 
372
 
373
end neorv32_cpu_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.