OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_alu.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Arithmetical/Logical Unit >>                                                     #
3
-- # ********************************************************************************************* #
4 47 zero_gravi
-- # Main data and address ALU and co-processor interface/arbiter.                                 #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8 44 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
9 2 zero_gravi
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_alu is
45 11 zero_gravi
  generic (
46 61 zero_gravi
    -- RISC-V CPU Extensions --
47 62 zero_gravi
    CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
48 63 zero_gravi
    CPU_EXTENSION_RISCV_Zbb   : boolean; -- implement basic bit-manipulation sub-extension?
49 62 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
50
    CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
51 61 zero_gravi
    -- Extension Options --
52 62 zero_gravi
    FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
53
    FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
54 11 zero_gravi
  );
55 2 zero_gravi
  port (
56
    -- global control --
57
    clk_i       : in  std_ulogic; -- global clock, rising edge
58
    rstn_i      : in  std_ulogic; -- global reset, low-active, async
59
    ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
60
    -- data input --
61
    rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
62
    rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
63
    pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
64
    imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
65 61 zero_gravi
    csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
66 2 zero_gravi
    -- data output --
67 65 zero_gravi
    cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
68 2 zero_gravi
    res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
69 36 zero_gravi
    add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
70 61 zero_gravi
    fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
71 2 zero_gravi
    -- status --
72 61 zero_gravi
    idone_o     : out std_ulogic -- iterative processing units done?
73 2 zero_gravi
  );
74
end neorv32_cpu_alu;
75
 
76
architecture neorv32_cpu_cpu_rtl of neorv32_cpu_alu is
77
 
78 65 zero_gravi
  -- comparator --
79
  signal cmp_opx : std_ulogic_vector(data_width_c downto 0);
80
  signal cmp_opy : std_ulogic_vector(data_width_c downto 0);
81
  signal cmp     : std_ulogic_vector(1 downto 0); -- comparator status
82
 
83 2 zero_gravi
  -- operands --
84 29 zero_gravi
  signal opa, opb : std_ulogic_vector(data_width_c-1 downto 0);
85 2 zero_gravi
 
86
  -- results --
87 36 zero_gravi
  signal addsub_res : std_ulogic_vector(data_width_c downto 0);
88 39 zero_gravi
  --
89 29 zero_gravi
  signal cp_res     : std_ulogic_vector(data_width_c-1 downto 0);
90 39 zero_gravi
  signal arith_res  : std_ulogic_vector(data_width_c-1 downto 0);
91
  signal logic_res  : std_ulogic_vector(data_width_c-1 downto 0);
92 2 zero_gravi
 
93 19 zero_gravi
  -- co-processor arbiter and interface --
94
  type cp_ctrl_t is record
95 55 zero_gravi
    cmd     : std_ulogic;
96
    cmd_ff  : std_ulogic;
97 61 zero_gravi
    start   : std_ulogic;
98 55 zero_gravi
    busy    : std_ulogic;
99
    timeout : std_ulogic_vector(9 downto 0);
100 19 zero_gravi
  end record;
101
  signal cp_ctrl : cp_ctrl_t;
102 2 zero_gravi
 
103 61 zero_gravi
  -- co-processor interface --
104
  signal cp_start  : std_ulogic_vector(3 downto 0); -- trigger co-processor i
105
  signal cp_valid  : std_ulogic_vector(3 downto 0); -- co-processor i done
106
  signal cp_result : cp_data_if_t; -- co-processor result
107
 
108 2 zero_gravi
begin
109
 
110 65 zero_gravi
  -- Comparator Unit (for conditional branches) ---------------------------------------------
111 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
112 65 zero_gravi
  cmp_opx <= (rs1_i(rs1_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs1_i;
113
  cmp_opy <= (rs2_i(rs2_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs2_i;
114
 
115
  cmp(cmp_equal_c) <= '1' when (rs1_i = rs2_i) else '0';
116
  cmp(cmp_less_c)  <= '1' when (signed(cmp_opx) < signed(cmp_opy)) else '0';
117
  cmp_o            <= cmp;
118
 
119
 
120
  -- ALU Input Operand Mux ------------------------------------------------------------------
121
  -- -------------------------------------------------------------------------------------------
122 36 zero_gravi
  opa <= pc2_i when (ctrl_i(ctrl_alu_opa_mux_c) = '1') else rs1_i; -- operand a (first ALU input operand), only required for arithmetic ops
123 29 zero_gravi
  opb <= imm_i when (ctrl_i(ctrl_alu_opb_mux_c) = '1') else rs2_i; -- operand b (second ALU input operand)
124 2 zero_gravi
 
125
 
126 61 zero_gravi
  -- Binary Adder/Subtracter ----------------------------------------------------------------
127 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
128 29 zero_gravi
  binary_arithmetic_core: process(ctrl_i, opa, opb)
129
    variable cin_v  : std_ulogic_vector(0 downto 0);
130
    variable op_a_v : std_ulogic_vector(data_width_c downto 0);
131
    variable op_b_v : std_ulogic_vector(data_width_c downto 0);
132
    variable op_y_v : std_ulogic_vector(data_width_c downto 0);
133
    variable res_v  : std_ulogic_vector(data_width_c downto 0);
134
  begin
135
    -- operand sign-extension --
136
    op_a_v := (opa(opa'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opa;
137
    op_b_v := (opb(opb'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opb;
138
    -- add/sub(slt) select --
139
    if (ctrl_i(ctrl_alu_addsub_c) = '1') then -- subtraction
140
      op_y_v   := not op_b_v;
141
      cin_v(0) := '1';
142 36 zero_gravi
    else -- addition
143 29 zero_gravi
      op_y_v   := op_b_v;
144
      cin_v(0) := '0';
145
    end if;
146 36 zero_gravi
    -- adder core (result + carry/borrow) --
147
    addsub_res <= std_ulogic_vector(unsigned(op_a_v) + unsigned(op_y_v) + unsigned(cin_v(0 downto 0)));
148 29 zero_gravi
  end process binary_arithmetic_core;
149
 
150 36 zero_gravi
  -- direct output of address result --
151
  add_o <= addsub_res(data_width_c-1 downto 0);
152 29 zero_gravi
 
153 39 zero_gravi
  -- ALU arithmetic logic core --
154
  arithmetic_core: process(ctrl_i, addsub_res)
155
  begin
156
    if (ctrl_i(ctrl_alu_arith_c) = alu_arith_cmd_addsub_c) then -- ADD/SUB
157
      arith_res <= addsub_res(data_width_c-1 downto 0);
158
    else -- SLT
159
      arith_res <= (others => '0');
160
      arith_res(0) <= addsub_res(addsub_res'left); -- => carry/borrow
161
    end if;
162
  end process arithmetic_core;
163 36 zero_gravi
 
164 39 zero_gravi
 
165 47 zero_gravi
  -- Co-Processor Arbiter -------------------------------------------------------------------
166 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
167 47 zero_gravi
  -- Interface:
168
  -- Co-processor "valid" signal has to be asserted (for one cycle) one cycle before asserting output data
169
  -- Co-processor "output data" has to be always zero unless co-processor was explicitly triggered
170 19 zero_gravi
  cp_arbiter: process(rstn_i, clk_i)
171 2 zero_gravi
  begin
172
    if (rstn_i = '0') then
173 55 zero_gravi
      cp_ctrl.cmd_ff  <= '0';
174
      cp_ctrl.busy    <= '0';
175
      cp_ctrl.timeout <= (others => '0');
176 2 zero_gravi
    elsif rising_edge(clk_i) then
177 40 zero_gravi
      cp_ctrl.cmd_ff <= cp_ctrl.cmd;
178 61 zero_gravi
      -- timeout counter --
179
      if (cp_ctrl.start = '1') then
180
        cp_ctrl.busy <= '1';
181
      elsif (or_reduce_f(cp_valid) = '1') then
182 40 zero_gravi
        cp_ctrl.busy <= '0';
183 2 zero_gravi
      end if;
184 55 zero_gravi
      if (cp_ctrl.busy = '1') and (cp_timeout_en_c = true) then
185
        cp_ctrl.timeout <= std_ulogic_vector(unsigned(cp_ctrl.timeout) + 1);
186
      else
187
        cp_ctrl.timeout <= (others => '0');
188
      end if;
189 61 zero_gravi
      if (cp_ctrl.timeout(cp_ctrl.timeout'left) = '1') and (cp_timeout_en_c = true) then -- timeout
190
        assert false report "NEORV32 CPU CO-PROCESSOR TIMEOUT ERROR!" severity warning;
191
      end if;
192 2 zero_gravi
    end if;
193 19 zero_gravi
  end process cp_arbiter;
194 2 zero_gravi
 
195
  -- is co-processor operation? --
196 39 zero_gravi
  cp_ctrl.cmd   <= '1' when (ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) = alu_func_cmd_copro_c) else '0';
197 29 zero_gravi
  cp_ctrl.start <= '1' when (cp_ctrl.cmd = '1') and (cp_ctrl.cmd_ff = '0') else '0';
198 2 zero_gravi
 
199 61 zero_gravi
  -- co-processor select / star trigger --
200
  cp_start(0) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "00") else '0';
201
  cp_start(1) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "01") else '0';
202
  cp_start(2) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "10") else '0';
203
  cp_start(3) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "11") else '0';
204 2 zero_gravi
 
205 61 zero_gravi
  -- co-processor operation done? --
206
  idone_o <= or_reduce_f(cp_valid);
207 39 zero_gravi
 
208 49 zero_gravi
  -- co-processor result - only the *actually selected* co-processor may output data != 0 --
209 61 zero_gravi
  cp_res <= cp_result(0) or cp_result(1) or cp_result(2) or cp_result(3);
210 24 zero_gravi
 
211
 
212 39 zero_gravi
  -- ALU Logic Core -------------------------------------------------------------------------
213
  -- -------------------------------------------------------------------------------------------
214
  alu_logic_core: process(ctrl_i, rs1_i, opb)
215
  begin
216
    case ctrl_i(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) is
217
      when alu_logic_cmd_movb_c => logic_res <= opb; -- (default)
218
      when alu_logic_cmd_xor_c  => logic_res <= rs1_i xor opb; -- only rs1 required for logic ops (opa would also contain pc)
219
      when alu_logic_cmd_or_c   => logic_res <= rs1_i or  opb;
220
      when alu_logic_cmd_and_c  => logic_res <= rs1_i and opb;
221
      when others               => logic_res <= opb; -- undefined
222
    end case;
223
  end process alu_logic_core;
224
 
225
 
226 2 zero_gravi
  -- ALU Function Select --------------------------------------------------------------------
227
  -- -------------------------------------------------------------------------------------------
228 61 zero_gravi
  alu_function_mux: process(ctrl_i, arith_res, logic_res, csr_i, cp_res)
229 2 zero_gravi
  begin
230 39 zero_gravi
    case ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) is
231
      when alu_func_cmd_arith_c => res_o <= arith_res; -- (default)
232
      when alu_func_cmd_logic_c => res_o <= logic_res;
233 61 zero_gravi
      when alu_func_cmd_csrr_c  => res_o <= csr_i;
234 39 zero_gravi
      when alu_func_cmd_copro_c => res_o <= cp_res;
235
      when others               => res_o <= arith_res; -- undefined
236 2 zero_gravi
    end case;
237
  end process alu_function_mux;
238
 
239
 
240 61 zero_gravi
  -- **************************************************************************************************************************
241
  -- Co-Processors
242
  -- **************************************************************************************************************************
243
 
244
  -- Co-Processor 0: Shifter (CPU Core ISA) --------------------------------------------------
245 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
246 65 zero_gravi
  neorv32_cpu_cp_shifter_inst: neorv32_cpu_cp_shifter
247
  generic map (
248
    FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations
249
  )
250
  port map (
251
    -- global control --
252
    clk_i   => clk_i,           -- global clock, rising edge
253
    rstn_i  => rstn_i,          -- global reset, low-active, async
254
    ctrl_i  => ctrl_i,          -- main control bus
255
    start_i => cp_start(0),     -- trigger operation
256
    -- data input --
257
    rs1_i   => rs1_i,           -- rf source 1
258
    rs2_i   => rs2_i,           -- rf source 2
259
    imm_i   => imm_i,           -- immediate
260
    -- result and status --
261
    res_o   => cp_result(0),    -- operation result
262
    valid_o => cp_valid(0)      -- data output valid
263
  );
264 2 zero_gravi
 
265
 
266 61 zero_gravi
  -- Co-Processor 1: Integer Multiplication/Division ('M' Extension) ------------------------
267
  -- -------------------------------------------------------------------------------------------
268
  neorv32_cpu_cp_muldiv_inst_true:
269
  if (CPU_EXTENSION_RISCV_M = true) or (CPU_EXTENSION_RISCV_Zmmul = true) generate
270
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
271
    generic map (
272
      FAST_MUL_EN => FAST_MUL_EN,          -- use DSPs for faster multiplication
273
      DIVISION_EN => CPU_EXTENSION_RISCV_M -- implement divider hardware
274
    )
275
    port map (
276
      -- global control --
277
      clk_i   => clk_i,           -- global clock, rising edge
278
      rstn_i  => rstn_i,          -- global reset, low-active, async
279
      ctrl_i  => ctrl_i,          -- main control bus
280
      start_i => cp_start(1),     -- trigger operation
281
      -- data input --
282
      rs1_i   => rs1_i,           -- rf source 1
283
      rs2_i   => rs2_i,           -- rf source 2
284
      -- result and status --
285
      res_o   => cp_result(1),    -- operation result
286
      valid_o => cp_valid(1)      -- data output valid
287
    );
288
  end generate;
289
 
290
  neorv32_cpu_cp_muldiv_inst_false:
291
  if (CPU_EXTENSION_RISCV_M = false) and (CPU_EXTENSION_RISCV_Zmmul = false) generate
292
    cp_result(1) <= (others => '0');
293
    cp_valid(1)  <= cp_start(1); -- to make sure CPU does not get stalled if there is an accidental access
294
  end generate;
295
 
296
 
297 65 zero_gravi
  -- Co-Processor 2: Bit-Manipulation Unit ('B'/'Zbb' Extension) ----------------------------
298 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
299 63 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_true:
300
  if (CPU_EXTENSION_RISCV_Zbb = true) generate
301
    neorv32_cpu_cp_bitmanip_inst: neorv32_cpu_cp_bitmanip
302
    generic map (
303
      FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations
304
    )
305
    port map (
306
      -- global control --
307
      clk_i    => clk_i,        -- global clock, rising edge
308
      rstn_i   => rstn_i,       -- global reset, low-active, async
309
      ctrl_i   => ctrl_i,       -- main control bus
310
      start_i  => cp_start(2),  -- trigger operation
311
      -- data input --
312 65 zero_gravi
      cmp_i    => cmp,          -- comparator status
313 63 zero_gravi
      rs1_i    => rs1_i,        -- rf source 1
314
      rs2_i    => rs2_i,        -- rf source 2
315
      -- result and status --
316
      res_o    => cp_result(2), -- operation result
317
      valid_o  => cp_valid(2)   -- data output valid
318
    );
319
  end generate;
320 61 zero_gravi
 
321 63 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_false:
322
  if (CPU_EXTENSION_RISCV_Zbb = false) generate
323
    cp_result(2) <= (others => '0');
324
    cp_valid(2)  <= cp_start(2); -- to make sure CPU does not get stalled if there is an accidental access
325
  end generate;
326 61 zero_gravi
 
327 63 zero_gravi
 
328 61 zero_gravi
  -- Co-Processor 3: Single-Precision Floating-Point Unit ('Zfinx' Extension) ---------------
329
  -- -------------------------------------------------------------------------------------------
330
  neorv32_cpu_cp_fpu_inst_true:
331
  if (CPU_EXTENSION_RISCV_Zfinx = true) generate
332
    neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu
333
    port map (
334
      -- global control --
335
      clk_i    => clk_i,        -- global clock, rising edge
336
      rstn_i   => rstn_i,       -- global reset, low-active, async
337
      ctrl_i   => ctrl_i,       -- main control bus
338
      start_i  => cp_start(3),  -- trigger operation
339
      -- data input --
340 65 zero_gravi
      cmp_i    => cmp,          -- comparator status
341 61 zero_gravi
      rs1_i    => rs1_i,        -- rf source 1
342
      rs2_i    => rs2_i,        -- rf source 2
343
      -- result and status --
344
      res_o    => cp_result(3), -- operation result
345
      fflags_o => fpu_flags_o,  -- exception flags
346
      valid_o  => cp_valid(3)   -- data output valid
347
    );
348
  end generate;
349
 
350
  neorv32_cpu_cp_fpu_inst_false:
351
  if (CPU_EXTENSION_RISCV_Zfinx = false) generate
352
    cp_result(3) <= (others => '0');
353
    fpu_flags_o  <= (others => '0');
354
    cp_valid(3)  <= cp_start(3); -- to make sure CPU does not get stalled if there is an accidental access
355
  end generate;
356
 
357
 
358 2 zero_gravi
end neorv32_cpu_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.