OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_bus.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Interface Unit >>                                                            #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # Instruction and data bus interfaces and physical memory protection (PMP).                     #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
9
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_bus is
45
  generic (
46 11 zero_gravi
    CPU_EXTENSION_RISCV_C : boolean := true; -- implement compressed extension?
47 15 zero_gravi
    -- Physical memory protection (PMP) --
48 40 zero_gravi
    PMP_USE               : boolean := false -- implement physical memory protection?
49 2 zero_gravi
  );
50
  port (
51
    -- global control --
52 12 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
53 38 zero_gravi
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
54 12 zero_gravi
    ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
55
    -- cpu instruction fetch interface --
56
    fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
57
    instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
58
    i_wait_o       : out std_ulogic; -- wait for fetch to complete
59
    --
60
    ma_instr_o     : out std_ulogic; -- misaligned instruction address
61
    be_instr_o     : out std_ulogic; -- bus error on instruction access
62
    -- cpu data access interface --
63
    addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
64
    wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
65
    rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
66
    mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
67
    d_wait_o       : out std_ulogic; -- wait for access to complete
68
    --
69
    ma_load_o      : out std_ulogic; -- misaligned load data address
70
    ma_store_o     : out std_ulogic; -- misaligned store data address
71
    be_load_o      : out std_ulogic; -- bus error on load data access
72
    be_store_o     : out std_ulogic; -- bus error on store data access
73 15 zero_gravi
    -- physical memory protection --
74
    pmp_addr_i     : in  pmp_addr_if_t; -- addresses
75
    pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
76 12 zero_gravi
    -- instruction bus --
77
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
78
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
79
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
80
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
81
    i_bus_we_o     : out std_ulogic; -- write enable
82
    i_bus_re_o     : out std_ulogic; -- read enable
83
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
84
    i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
85
    i_bus_err_i    : in  std_ulogic; -- bus transfer error
86
    i_bus_fence_o  : out std_ulogic; -- fence operation
87 39 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
88 12 zero_gravi
    -- data bus --
89
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
90
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
91
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
92
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
93
    d_bus_we_o     : out std_ulogic; -- write enable
94
    d_bus_re_o     : out std_ulogic; -- read enable
95
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
96
    d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
97
    d_bus_err_i    : in  std_ulogic; -- bus transfer error
98 39 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- fence operation
99
    d_bus_lock_o   : out std_ulogic  -- locked/exclusive access
100 2 zero_gravi
  );
101
end neorv32_cpu_bus;
102
 
103
architecture neorv32_cpu_bus_rtl of neorv32_cpu_bus is
104
 
105 15 zero_gravi
  -- PMP modes --
106
  constant pmp_off_mode_c   : std_ulogic_vector(1 downto 0) := "00"; -- null region (disabled)
107 36 zero_gravi
--constant pmp_tor_mode_c   : std_ulogic_vector(1 downto 0) := "01"; -- top of range
108
--constant pmp_na4_mode_c   : std_ulogic_vector(1 downto 0) := "10"; -- naturally aligned four-byte region
109 15 zero_gravi
  constant pmp_napot_mode_c : std_ulogic_vector(1 downto 0) := "11"; -- naturally aligned power-of-two region (>= 8 bytes)
110
 
111 40 zero_gravi
  -- PMP granularity --
112
  constant pmp_g_c : natural := index_size_f(pmp_min_granularity_c);
113
 
114 15 zero_gravi
  -- PMP configuration register bits --
115
  constant pmp_cfg_r_c  : natural := 0; -- read permit
116
  constant pmp_cfg_w_c  : natural := 1; -- write permit
117
  constant pmp_cfg_x_c  : natural := 2; -- execute permit
118
  constant pmp_cfg_al_c : natural := 3; -- mode bit low
119
  constant pmp_cfg_ah_c : natural := 4; -- mode bit high
120
  constant pmp_cfg_l_c  : natural := 7; -- locked entry
121
 
122 12 zero_gravi
  -- data interface registers --
123 2 zero_gravi
  signal mar, mdo, mdi : std_ulogic_vector(data_width_c-1 downto 0);
124
 
125 12 zero_gravi
  -- data access --
126
  signal d_bus_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- write data
127
  signal d_bus_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- read data
128
  signal d_bus_ben   : std_ulogic_vector(3 downto 0); -- write data byte enable
129 2 zero_gravi
 
130
  -- misaligned access? --
131 12 zero_gravi
  signal d_misaligned, i_misaligned : std_ulogic;
132 2 zero_gravi
 
133 12 zero_gravi
  -- bus arbiter --
134
  type bus_arbiter_t is record
135
    rd_req    : std_ulogic; -- read access in progress
136
    wr_req    : std_ulogic; -- write access in progress
137
    err_align : std_ulogic; -- alignment error
138
    err_bus   : std_ulogic; -- bus access error
139 30 zero_gravi
    timeout   : std_ulogic_vector(index_size_f(bus_timeout_c)-1 downto 0);
140 12 zero_gravi
  end record;
141
  signal i_arbiter, d_arbiter : bus_arbiter_t;
142
 
143 15 zero_gravi
  -- physical memory protection --
144 40 zero_gravi
  type pmp_addr_t is array (0 to pmp_num_regions_c-1) of std_ulogic_vector(data_width_c-1 downto 0);
145 15 zero_gravi
  type pmp_t is record
146 40 zero_gravi
    addr_mask     : pmp_addr_t;
147
    region_base   : pmp_addr_t; -- region config base address
148 16 zero_gravi
    region_i_addr : pmp_addr_t; -- masked instruction access base address for comparator
149
    region_d_addr : pmp_addr_t; -- masked data access base address for comparator
150 40 zero_gravi
    i_match       : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region match for instruction interface
151
    d_match       : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region match for data interface
152
    if_fault      : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region access fault for fetch operation
153
    ld_fault      : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region access fault for load operation
154
    st_fault      : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region access fault for store operation
155 15 zero_gravi
  end record;
156
  signal pmp : pmp_t;
157
 
158
  -- pmp faults anybody? --
159
  signal if_pmp_fault : std_ulogic; -- pmp instruction access fault
160
  signal ld_pmp_fault : std_ulogic; -- pmp load access fault
161
  signal st_pmp_fault : std_ulogic; -- pmp store access fault
162
 
163 2 zero_gravi
begin
164
 
165 12 zero_gravi
  -- Data Interface: Access Address ---------------------------------------------------------
166 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
167 36 zero_gravi
  mem_adr_reg: process(clk_i)
168 2 zero_gravi
  begin
169 11 zero_gravi
    if rising_edge(clk_i) then
170 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
171 12 zero_gravi
        mar <= addr_i;
172 2 zero_gravi
      end if;
173
    end if;
174
  end process mem_adr_reg;
175
 
176 12 zero_gravi
  -- read-back for exception controller --
177
  mar_o <= mar;
178 2 zero_gravi
 
179 12 zero_gravi
  -- alignment check --
180
  misaligned_d_check: process(mar, ctrl_i)
181
  begin
182
    -- check data access --
183
    d_misaligned <= '0'; -- default
184
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
185
      when "00" => -- byte
186
        d_misaligned <= '0';
187
      when "01" => -- half-word
188
        if (mar(0) /= '0') then
189
          d_misaligned <= '1';
190
        end if;
191
      when others => -- word
192
        if (mar(1 downto 0) /= "00") then
193
          d_misaligned <= '1';
194
        end if;
195
    end case;
196
  end process misaligned_d_check;
197 2 zero_gravi
 
198
 
199 12 zero_gravi
  -- Data Interface: Write Data -------------------------------------------------------------
200 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
201
  mem_do_reg: process(clk_i)
202
  begin
203
    if rising_edge(clk_i) then
204 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
205 40 zero_gravi
        mdo <= wdata_i; -- memory data output register (MDO)
206 2 zero_gravi
      end if;
207
    end if;
208
  end process mem_do_reg;
209
 
210
  -- byte enable and output data alignment --
211
  byte_enable: process(mar, mdo, ctrl_i)
212
  begin
213
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
214
      when "00" => -- byte
215 12 zero_gravi
        d_bus_wdata(07 downto 00) <= mdo(07 downto 00);
216
        d_bus_wdata(15 downto 08) <= mdo(07 downto 00);
217
        d_bus_wdata(23 downto 16) <= mdo(07 downto 00);
218
        d_bus_wdata(31 downto 24) <= mdo(07 downto 00);
219 36 zero_gravi
        case mar(1 downto 0) is
220
          when "00"   => d_bus_ben <= "0001";
221
          when "01"   => d_bus_ben <= "0010";
222
          when "10"   => d_bus_ben <= "0100";
223
          when others => d_bus_ben <= "1000";
224
        end case;
225 2 zero_gravi
      when "01" => -- half-word
226 12 zero_gravi
        d_bus_wdata(31 downto 16) <= mdo(15 downto 00);
227
        d_bus_wdata(15 downto 00) <= mdo(15 downto 00);
228 2 zero_gravi
        if (mar(1) = '0') then
229 12 zero_gravi
          d_bus_ben <= "0011"; -- low half-word
230 2 zero_gravi
        else
231 12 zero_gravi
          d_bus_ben <= "1100"; -- high half-word
232 2 zero_gravi
        end if;
233
      when others => -- word
234 12 zero_gravi
        d_bus_wdata <= mdo;
235
        d_bus_ben   <= "1111"; -- full word
236 2 zero_gravi
    end case;
237
  end process byte_enable;
238
 
239
 
240 12 zero_gravi
  -- Data Interface: Read Data --------------------------------------------------------------
241 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
242
  mem_out_buf: process(clk_i)
243
  begin
244
    if rising_edge(clk_i) then
245 39 zero_gravi
      if (ctrl_i(ctrl_bus_mi_we_c) = '1') then
246 40 zero_gravi
        mdi <= d_bus_rdata; -- memory data input register (MDI)
247 2 zero_gravi
      end if;
248
    end if;
249
  end process mem_out_buf;
250
 
251 12 zero_gravi
  -- input data alignment and sign extension --
252 2 zero_gravi
  read_align: process(mdi, mar, ctrl_i)
253 36 zero_gravi
    variable byte_in_v  : std_ulogic_vector(07 downto 0);
254
    variable hword_in_v : std_ulogic_vector(15 downto 0);
255 2 zero_gravi
  begin
256 36 zero_gravi
    -- sub-word input --
257
    case mar(1 downto 0) is
258
      when "00"   => byte_in_v := mdi(07 downto 00); hword_in_v := mdi(15 downto 00); -- byte 0 / half-word 0
259
      when "01"   => byte_in_v := mdi(15 downto 08); hword_in_v := mdi(15 downto 00); -- byte 1 / half-word 0
260
      when "10"   => byte_in_v := mdi(23 downto 16); hword_in_v := mdi(31 downto 16); -- byte 2 / half-word 1
261
      when others => byte_in_v := mdi(31 downto 24); hword_in_v := mdi(31 downto 16); -- byte 3 / half-word 1
262
    end case;
263
    -- actual data size --
264
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is
265 2 zero_gravi
      when "00" => -- byte
266 36 zero_gravi
        rdata_o(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and byte_in_v(7))); -- sign extension
267
        rdata_o(07 downto 00) <= byte_in_v;
268 2 zero_gravi
      when "01" => -- half-word
269 36 zero_gravi
        rdata_o(31 downto 16) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and hword_in_v(15))); -- sign extension
270
        rdata_o(15 downto 00) <= hword_in_v; -- high half-word
271 2 zero_gravi
      when others => -- word
272
        rdata_o <= mdi; -- full word
273
    end case;
274
  end process read_align;
275
 
276
 
277 39 zero_gravi
  -- Data Access Arbiter --------------------------------------------------------------------
278 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
279 39 zero_gravi
  data_access_arbiter: process(rstn_i, clk_i)
280 2 zero_gravi
  begin
281 39 zero_gravi
    if (rstn_i = '0') then
282
      d_arbiter.wr_req    <= '0';
283
      d_arbiter.rd_req    <= '0';
284
      d_arbiter.err_align <= '0';
285
      d_arbiter.err_bus   <= '0';
286
      d_arbiter.timeout   <= (others => '0');
287
    elsif rising_edge(clk_i) then
288
      -- data access request --
289
      if (d_arbiter.wr_req = '0') and (d_arbiter.rd_req = '0') then -- idle
290
        d_arbiter.wr_req    <= ctrl_i(ctrl_bus_wr_c);
291
        d_arbiter.rd_req    <= ctrl_i(ctrl_bus_rd_c);
292
        d_arbiter.err_align <= d_misaligned;
293
        d_arbiter.err_bus   <= '0';
294
        d_arbiter.timeout   <= std_ulogic_vector(to_unsigned(bus_timeout_c, index_size_f(bus_timeout_c)));
295
      else -- in progress
296
        d_arbiter.timeout   <= std_ulogic_vector(unsigned(d_arbiter.timeout) - 1);
297 40 zero_gravi
        d_arbiter.err_align <= (d_arbiter.err_align or d_misaligned) and (not ctrl_i(ctrl_bus_derr_ack_c));
298
        d_arbiter.err_bus   <= (d_arbiter.err_bus   or (not or_all_f(d_arbiter.timeout)) or d_bus_err_i or
299
                                (st_pmp_fault and d_arbiter.wr_req) or (ld_pmp_fault and d_arbiter.rd_req)) and (not ctrl_i(ctrl_bus_derr_ack_c));
300 39 zero_gravi
        if (d_bus_ack_i = '1') or (ctrl_i(ctrl_bus_derr_ack_c) = '1') then -- wait for normal termination / CPU abort
301
          d_arbiter.wr_req <= '0';
302
          d_arbiter.rd_req <= '0';
303
        end if;
304
      end if;
305 12 zero_gravi
    end if;
306 39 zero_gravi
  end process data_access_arbiter;
307 12 zero_gravi
 
308 39 zero_gravi
  -- cancel bus access --
309
  d_bus_cancel_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and ctrl_i(ctrl_bus_derr_ack_c);
310 12 zero_gravi
 
311 39 zero_gravi
  -- wait for bus transaction to finish --
312
  d_wait_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and (not d_bus_ack_i);
313
 
314
  -- output data access error to controller --
315
  ma_load_o  <= d_arbiter.rd_req and d_arbiter.err_align;
316
  be_load_o  <= d_arbiter.rd_req and d_arbiter.err_bus;
317
  ma_store_o <= d_arbiter.wr_req and d_arbiter.err_align;
318
  be_store_o <= d_arbiter.wr_req and d_arbiter.err_bus;
319
 
320
  -- data bus (read/write)--
321
  d_bus_addr_o  <= mar;
322
  d_bus_wdata_o <= d_bus_wdata;
323
  d_bus_ben_o   <= d_bus_ben;
324
  d_bus_we_o    <= ctrl_i(ctrl_bus_wr_c) and (not d_misaligned) and (not st_pmp_fault); -- no actual write when misaligned or PMP fault
325
  d_bus_re_o    <= ctrl_i(ctrl_bus_rd_c) and (not d_misaligned) and (not ld_pmp_fault); -- no actual read when misaligned or PMP fault
326
  d_bus_fence_o <= ctrl_i(ctrl_bus_fence_c);
327
  d_bus_rdata   <= d_bus_rdata_i;
328
  d_bus_lock_o  <= ctrl_i(ctrl_bus_lock_c);
329
 
330
 
331 12 zero_gravi
  -- Instruction Fetch Arbiter --------------------------------------------------------------
332
  -- -------------------------------------------------------------------------------------------
333 38 zero_gravi
  ifetch_arbiter: process(rstn_i, clk_i)
334 12 zero_gravi
  begin
335 38 zero_gravi
    if (rstn_i = '0') then
336
      i_arbiter.rd_req    <= '0';
337
      i_arbiter.err_align <= '0';
338
      i_arbiter.err_bus   <= '0';
339
      i_arbiter.timeout   <= (others => '0');
340
    elsif rising_edge(clk_i) then
341 12 zero_gravi
      -- instruction fetch request --
342
      if (i_arbiter.rd_req = '0') then -- idle
343
        i_arbiter.rd_req    <= ctrl_i(ctrl_bus_if_c);
344
        i_arbiter.err_align <= i_misaligned;
345
        i_arbiter.err_bus   <= '0';
346 30 zero_gravi
        i_arbiter.timeout   <= std_ulogic_vector(to_unsigned(bus_timeout_c, index_size_f(bus_timeout_c)));
347 12 zero_gravi
      else -- in progress
348
        i_arbiter.timeout   <= std_ulogic_vector(unsigned(i_arbiter.timeout) - 1);
349 40 zero_gravi
        i_arbiter.err_align <= (i_arbiter.err_align or i_misaligned)                                                     and (not ctrl_i(ctrl_bus_ierr_ack_c));
350
        i_arbiter.err_bus   <= (i_arbiter.err_bus   or (not or_all_f(i_arbiter.timeout)) or i_bus_err_i or if_pmp_fault) and (not ctrl_i(ctrl_bus_ierr_ack_c));
351 28 zero_gravi
        if (i_bus_ack_i = '1') or (ctrl_i(ctrl_bus_ierr_ack_c) = '1') then -- wait for normal termination / CPU abort
352 23 zero_gravi
          i_arbiter.rd_req <= '0';
353 2 zero_gravi
        end if;
354
      end if;
355
    end if;
356 12 zero_gravi
  end process ifetch_arbiter;
357 2 zero_gravi
 
358 36 zero_gravi
  i_arbiter.wr_req <= '0'; -- instruction fetch is read-only
359
 
360 28 zero_gravi
  -- cancel bus access --
361
  i_bus_cancel_o <= i_arbiter.rd_req and ctrl_i(ctrl_bus_ierr_ack_c);
362 2 zero_gravi
 
363 12 zero_gravi
  -- wait for bus transaction to finish --
364
  i_wait_o <= i_arbiter.rd_req and (not i_bus_ack_i);
365 2 zero_gravi
 
366 12 zero_gravi
  -- output instruction fetch error to controller --
367
  ma_instr_o <= i_arbiter.err_align;
368
  be_instr_o <= i_arbiter.err_bus;
369 11 zero_gravi
 
370 12 zero_gravi
  -- instruction bus (read-only) --
371 31 zero_gravi
  i_bus_addr_o  <= fetch_pc_i(data_width_c-1 downto 2) & "00"; -- instruction access is always 4-byte aligned (even for compressed instructions)
372 40 zero_gravi
  i_bus_wdata_o <= (others => '0'); -- instruction fetch is read-only
373 12 zero_gravi
  i_bus_ben_o   <= (others => '0');
374
  i_bus_we_o    <= '0';
375 15 zero_gravi
  i_bus_re_o    <= ctrl_i(ctrl_bus_if_c) and (not i_misaligned) and (not if_pmp_fault); -- no actual read when misaligned or PMP fault
376 12 zero_gravi
  i_bus_fence_o <= ctrl_i(ctrl_bus_fencei_c);
377
  instr_o       <= i_bus_rdata_i;
378 39 zero_gravi
  i_bus_lock_o  <= '0'; -- instruction fetch cannot be atomic
379 2 zero_gravi
 
380
 
381 39 zero_gravi
  -- check instruction access --
382
  i_misaligned <= '0' when (CPU_EXTENSION_RISCV_C = true) else -- no alignment exceptions possible when using C-extension
383
                  '1' when (fetch_pc_i(1) = '1') else '0'; -- 32-bit accesses only
384 2 zero_gravi
 
385
 
386 15 zero_gravi
  -- Physical Memory Protection (PMP) -------------------------------------------------------
387
  -- -------------------------------------------------------------------------------------------
388 40 zero_gravi
  -- compute address masks (ITERATIVE!!!) --
389 17 zero_gravi
  pmp_masks: process(clk_i)
390 15 zero_gravi
  begin
391 40 zero_gravi
    if rising_edge(clk_i) then -- address mask computation (not the actual address check!) has a latency of max +32 cycles
392
      for r in 0 to pmp_num_regions_c-1 loop -- iterate over all regions
393
        pmp.addr_mask(r) <= (others => '0');
394
        for i in pmp_g_c to data_width_c-1 loop
395
          pmp.addr_mask(r)(i) <= pmp.addr_mask(r)(i-1) or (not pmp_addr_i(r)(i-1));
396 17 zero_gravi
        end loop; -- i
397
      end loop; -- r
398
    end if;
399 15 zero_gravi
  end process pmp_masks;
400
 
401
 
402 40 zero_gravi
  -- address access check --
403
  pmp_address_check:
404
  for r in 0 to pmp_num_regions_c-1 generate -- iterate over all regions
405
    pmp.region_i_addr(r) <= fetch_pc_i                             and pmp.addr_mask(r);
406
    pmp.region_d_addr(r) <= mar                                    and pmp.addr_mask(r);
407
    pmp.region_base(r)   <= pmp_addr_i(r)(data_width_c+1 downto 2) and pmp.addr_mask(r);
408
    --
409
    pmp.i_match(r) <= '1' when (pmp.region_i_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
410
    pmp.d_match(r) <= '1' when (pmp.region_d_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
411 16 zero_gravi
  end generate; -- r
412 15 zero_gravi
 
413
 
414
  -- check access type and regions's permissions --
415 36 zero_gravi
  pmp_check_permission: process(pmp, pmp_ctrl_i, ctrl_i)
416 15 zero_gravi
  begin
417 40 zero_gravi
    for r in 0 to pmp_num_regions_c-1 loop -- iterate over all regions
418 36 zero_gravi
      if ((ctrl_i(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) = priv_mode_u_c) or (pmp_ctrl_i(r)(pmp_cfg_l_c) = '1')) and -- user privilege level or locked pmp entry -> enforce permissions also for machine mode
419
         (pmp_ctrl_i(r)(pmp_cfg_ah_c downto pmp_cfg_al_c) /= pmp_off_mode_c) then -- active entry
420 15 zero_gravi
        pmp.if_fault(r) <= pmp.i_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_x_c)); -- fetch access match no execute permission
421
        pmp.ld_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_r_c)); -- load access match no read permission
422
        pmp.st_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_w_c)); -- store access match no write permission
423
      else
424
        pmp.if_fault(r) <= '0';
425
        pmp.ld_fault(r) <= '0';
426
        pmp.st_fault(r) <= '0';
427
      end if;
428
    end loop; -- r
429
  end process pmp_check_permission;
430
 
431
 
432
  -- final PMP access fault signals --
433
  if_pmp_fault <= or_all_f(pmp.if_fault) when (PMP_USE = true) else '0';
434
  ld_pmp_fault <= or_all_f(pmp.ld_fault) when (PMP_USE = true) else '0';
435
  st_pmp_fault <= or_all_f(pmp.st_fault) when (PMP_USE = true) else '0';
436
 
437
 
438 2 zero_gravi
end neorv32_cpu_bus_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.