OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_bus.vhd] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Interface Unit >>                                                            #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # Instruction and data bus interfaces and physical memory protection (PMP).                     #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
9
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_bus is
45
  generic (
46 41 zero_gravi
    CPU_EXTENSION_RISCV_C : boolean := true;  -- implement compressed extension?
47 15 zero_gravi
    -- Physical memory protection (PMP) --
48 41 zero_gravi
    PMP_USE               : boolean := false; -- implement physical memory protection?
49
    -- Bus Timeout --
50
    BUS_TIMEOUT           : natural := 63     -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
51 2 zero_gravi
  );
52
  port (
53
    -- global control --
54 12 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
55 38 zero_gravi
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
56 12 zero_gravi
    ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
57
    -- cpu instruction fetch interface --
58
    fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
59
    instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
60
    i_wait_o       : out std_ulogic; -- wait for fetch to complete
61
    --
62
    ma_instr_o     : out std_ulogic; -- misaligned instruction address
63
    be_instr_o     : out std_ulogic; -- bus error on instruction access
64
    -- cpu data access interface --
65
    addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
66
    wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
67
    rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
68
    mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
69
    d_wait_o       : out std_ulogic; -- wait for access to complete
70
    --
71
    ma_load_o      : out std_ulogic; -- misaligned load data address
72
    ma_store_o     : out std_ulogic; -- misaligned store data address
73
    be_load_o      : out std_ulogic; -- bus error on load data access
74
    be_store_o     : out std_ulogic; -- bus error on store data access
75 15 zero_gravi
    -- physical memory protection --
76
    pmp_addr_i     : in  pmp_addr_if_t; -- addresses
77
    pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
78 12 zero_gravi
    -- instruction bus --
79
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
80
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
81
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
82
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
83
    i_bus_we_o     : out std_ulogic; -- write enable
84
    i_bus_re_o     : out std_ulogic; -- read enable
85
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
86
    i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
87
    i_bus_err_i    : in  std_ulogic; -- bus transfer error
88
    i_bus_fence_o  : out std_ulogic; -- fence operation
89 39 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
90 12 zero_gravi
    -- data bus --
91
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
92
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
93
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
94
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
95
    d_bus_we_o     : out std_ulogic; -- write enable
96
    d_bus_re_o     : out std_ulogic; -- read enable
97
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
98
    d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
99
    d_bus_err_i    : in  std_ulogic; -- bus transfer error
100 39 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- fence operation
101
    d_bus_lock_o   : out std_ulogic  -- locked/exclusive access
102 2 zero_gravi
  );
103
end neorv32_cpu_bus;
104
 
105
architecture neorv32_cpu_bus_rtl of neorv32_cpu_bus is
106
 
107 15 zero_gravi
  -- PMP modes --
108
  constant pmp_off_mode_c   : std_ulogic_vector(1 downto 0) := "00"; -- null region (disabled)
109 36 zero_gravi
--constant pmp_tor_mode_c   : std_ulogic_vector(1 downto 0) := "01"; -- top of range
110
--constant pmp_na4_mode_c   : std_ulogic_vector(1 downto 0) := "10"; -- naturally aligned four-byte region
111 15 zero_gravi
  constant pmp_napot_mode_c : std_ulogic_vector(1 downto 0) := "11"; -- naturally aligned power-of-two region (>= 8 bytes)
112
 
113 40 zero_gravi
  -- PMP granularity --
114
  constant pmp_g_c : natural := index_size_f(pmp_min_granularity_c);
115
 
116 15 zero_gravi
  -- PMP configuration register bits --
117
  constant pmp_cfg_r_c  : natural := 0; -- read permit
118
  constant pmp_cfg_w_c  : natural := 1; -- write permit
119
  constant pmp_cfg_x_c  : natural := 2; -- execute permit
120
  constant pmp_cfg_al_c : natural := 3; -- mode bit low
121
  constant pmp_cfg_ah_c : natural := 4; -- mode bit high
122
  constant pmp_cfg_l_c  : natural := 7; -- locked entry
123
 
124 12 zero_gravi
  -- data interface registers --
125 2 zero_gravi
  signal mar, mdo, mdi : std_ulogic_vector(data_width_c-1 downto 0);
126
 
127 12 zero_gravi
  -- data access --
128
  signal d_bus_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- write data
129
  signal d_bus_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- read data
130
  signal d_bus_ben   : std_ulogic_vector(3 downto 0); -- write data byte enable
131 2 zero_gravi
 
132
  -- misaligned access? --
133 12 zero_gravi
  signal d_misaligned, i_misaligned : std_ulogic;
134 2 zero_gravi
 
135 12 zero_gravi
  -- bus arbiter --
136
  type bus_arbiter_t is record
137
    rd_req    : std_ulogic; -- read access in progress
138
    wr_req    : std_ulogic; -- write access in progress
139
    err_align : std_ulogic; -- alignment error
140
    err_bus   : std_ulogic; -- bus access error
141 41 zero_gravi
    timeout   : std_ulogic_vector(index_size_f(BUS_TIMEOUT)-1 downto 0);
142 12 zero_gravi
  end record;
143
  signal i_arbiter, d_arbiter : bus_arbiter_t;
144
 
145 15 zero_gravi
  -- physical memory protection --
146 40 zero_gravi
  type pmp_addr_t is array (0 to pmp_num_regions_c-1) of std_ulogic_vector(data_width_c-1 downto 0);
147 15 zero_gravi
  type pmp_t is record
148 40 zero_gravi
    addr_mask     : pmp_addr_t;
149
    region_base   : pmp_addr_t; -- region config base address
150 16 zero_gravi
    region_i_addr : pmp_addr_t; -- masked instruction access base address for comparator
151
    region_d_addr : pmp_addr_t; -- masked data access base address for comparator
152 40 zero_gravi
    i_match       : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region match for instruction interface
153
    d_match       : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region match for data interface
154
    if_fault      : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region access fault for fetch operation
155
    ld_fault      : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region access fault for load operation
156
    st_fault      : std_ulogic_vector(pmp_num_regions_c-1 downto 0); -- region access fault for store operation
157 15 zero_gravi
  end record;
158
  signal pmp : pmp_t;
159
 
160
  -- pmp faults anybody? --
161
  signal if_pmp_fault : std_ulogic; -- pmp instruction access fault
162
  signal ld_pmp_fault : std_ulogic; -- pmp load access fault
163
  signal st_pmp_fault : std_ulogic; -- pmp store access fault
164
 
165 2 zero_gravi
begin
166
 
167 12 zero_gravi
  -- Data Interface: Access Address ---------------------------------------------------------
168 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
169 36 zero_gravi
  mem_adr_reg: process(clk_i)
170 2 zero_gravi
  begin
171 11 zero_gravi
    if rising_edge(clk_i) then
172 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
173 12 zero_gravi
        mar <= addr_i;
174 2 zero_gravi
      end if;
175
    end if;
176
  end process mem_adr_reg;
177
 
178 12 zero_gravi
  -- read-back for exception controller --
179
  mar_o <= mar;
180 2 zero_gravi
 
181 12 zero_gravi
  -- alignment check --
182
  misaligned_d_check: process(mar, ctrl_i)
183
  begin
184
    -- check data access --
185
    d_misaligned <= '0'; -- default
186
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
187
      when "00" => -- byte
188
        d_misaligned <= '0';
189
      when "01" => -- half-word
190
        if (mar(0) /= '0') then
191
          d_misaligned <= '1';
192
        end if;
193
      when others => -- word
194
        if (mar(1 downto 0) /= "00") then
195
          d_misaligned <= '1';
196
        end if;
197
    end case;
198
  end process misaligned_d_check;
199 2 zero_gravi
 
200
 
201 12 zero_gravi
  -- Data Interface: Write Data -------------------------------------------------------------
202 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
203
  mem_do_reg: process(clk_i)
204
  begin
205
    if rising_edge(clk_i) then
206 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
207 40 zero_gravi
        mdo <= wdata_i; -- memory data output register (MDO)
208 2 zero_gravi
      end if;
209
    end if;
210
  end process mem_do_reg;
211
 
212
  -- byte enable and output data alignment --
213
  byte_enable: process(mar, mdo, ctrl_i)
214
  begin
215
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
216
      when "00" => -- byte
217 12 zero_gravi
        d_bus_wdata(07 downto 00) <= mdo(07 downto 00);
218
        d_bus_wdata(15 downto 08) <= mdo(07 downto 00);
219
        d_bus_wdata(23 downto 16) <= mdo(07 downto 00);
220
        d_bus_wdata(31 downto 24) <= mdo(07 downto 00);
221 36 zero_gravi
        case mar(1 downto 0) is
222
          when "00"   => d_bus_ben <= "0001";
223
          when "01"   => d_bus_ben <= "0010";
224
          when "10"   => d_bus_ben <= "0100";
225
          when others => d_bus_ben <= "1000";
226
        end case;
227 2 zero_gravi
      when "01" => -- half-word
228 12 zero_gravi
        d_bus_wdata(31 downto 16) <= mdo(15 downto 00);
229
        d_bus_wdata(15 downto 00) <= mdo(15 downto 00);
230 2 zero_gravi
        if (mar(1) = '0') then
231 12 zero_gravi
          d_bus_ben <= "0011"; -- low half-word
232 2 zero_gravi
        else
233 12 zero_gravi
          d_bus_ben <= "1100"; -- high half-word
234 2 zero_gravi
        end if;
235
      when others => -- word
236 12 zero_gravi
        d_bus_wdata <= mdo;
237
        d_bus_ben   <= "1111"; -- full word
238 2 zero_gravi
    end case;
239
  end process byte_enable;
240
 
241
 
242 12 zero_gravi
  -- Data Interface: Read Data --------------------------------------------------------------
243 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
244
  mem_out_buf: process(clk_i)
245
  begin
246
    if rising_edge(clk_i) then
247 39 zero_gravi
      if (ctrl_i(ctrl_bus_mi_we_c) = '1') then
248 40 zero_gravi
        mdi <= d_bus_rdata; -- memory data input register (MDI)
249 2 zero_gravi
      end if;
250
    end if;
251
  end process mem_out_buf;
252
 
253 12 zero_gravi
  -- input data alignment and sign extension --
254 2 zero_gravi
  read_align: process(mdi, mar, ctrl_i)
255 36 zero_gravi
    variable byte_in_v  : std_ulogic_vector(07 downto 0);
256
    variable hword_in_v : std_ulogic_vector(15 downto 0);
257 2 zero_gravi
  begin
258 36 zero_gravi
    -- sub-word input --
259
    case mar(1 downto 0) is
260
      when "00"   => byte_in_v := mdi(07 downto 00); hword_in_v := mdi(15 downto 00); -- byte 0 / half-word 0
261
      when "01"   => byte_in_v := mdi(15 downto 08); hword_in_v := mdi(15 downto 00); -- byte 1 / half-word 0
262
      when "10"   => byte_in_v := mdi(23 downto 16); hword_in_v := mdi(31 downto 16); -- byte 2 / half-word 1
263
      when others => byte_in_v := mdi(31 downto 24); hword_in_v := mdi(31 downto 16); -- byte 3 / half-word 1
264
    end case;
265
    -- actual data size --
266
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is
267 2 zero_gravi
      when "00" => -- byte
268 36 zero_gravi
        rdata_o(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and byte_in_v(7))); -- sign extension
269
        rdata_o(07 downto 00) <= byte_in_v;
270 2 zero_gravi
      when "01" => -- half-word
271 36 zero_gravi
        rdata_o(31 downto 16) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and hword_in_v(15))); -- sign extension
272
        rdata_o(15 downto 00) <= hword_in_v; -- high half-word
273 2 zero_gravi
      when others => -- word
274
        rdata_o <= mdi; -- full word
275
    end case;
276
  end process read_align;
277
 
278
 
279 39 zero_gravi
  -- Data Access Arbiter --------------------------------------------------------------------
280 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
281 39 zero_gravi
  data_access_arbiter: process(rstn_i, clk_i)
282 2 zero_gravi
  begin
283 39 zero_gravi
    if (rstn_i = '0') then
284
      d_arbiter.wr_req    <= '0';
285
      d_arbiter.rd_req    <= '0';
286
      d_arbiter.err_align <= '0';
287
      d_arbiter.err_bus   <= '0';
288
      d_arbiter.timeout   <= (others => '0');
289
    elsif rising_edge(clk_i) then
290
      -- data access request --
291
      if (d_arbiter.wr_req = '0') and (d_arbiter.rd_req = '0') then -- idle
292
        d_arbiter.wr_req    <= ctrl_i(ctrl_bus_wr_c);
293
        d_arbiter.rd_req    <= ctrl_i(ctrl_bus_rd_c);
294
        d_arbiter.err_align <= d_misaligned;
295
        d_arbiter.err_bus   <= '0';
296 41 zero_gravi
        d_arbiter.timeout   <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)));
297 39 zero_gravi
      else -- in progress
298
        d_arbiter.timeout   <= std_ulogic_vector(unsigned(d_arbiter.timeout) - 1);
299 40 zero_gravi
        d_arbiter.err_align <= (d_arbiter.err_align or d_misaligned) and (not ctrl_i(ctrl_bus_derr_ack_c));
300
        d_arbiter.err_bus   <= (d_arbiter.err_bus   or (not or_all_f(d_arbiter.timeout)) or d_bus_err_i or
301
                                (st_pmp_fault and d_arbiter.wr_req) or (ld_pmp_fault and d_arbiter.rd_req)) and (not ctrl_i(ctrl_bus_derr_ack_c));
302 39 zero_gravi
        if (d_bus_ack_i = '1') or (ctrl_i(ctrl_bus_derr_ack_c) = '1') then -- wait for normal termination / CPU abort
303
          d_arbiter.wr_req <= '0';
304
          d_arbiter.rd_req <= '0';
305
        end if;
306
      end if;
307 12 zero_gravi
    end if;
308 39 zero_gravi
  end process data_access_arbiter;
309 12 zero_gravi
 
310 39 zero_gravi
  -- cancel bus access --
311
  d_bus_cancel_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and ctrl_i(ctrl_bus_derr_ack_c);
312 12 zero_gravi
 
313 39 zero_gravi
  -- wait for bus transaction to finish --
314
  d_wait_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and (not d_bus_ack_i);
315
 
316
  -- output data access error to controller --
317
  ma_load_o  <= d_arbiter.rd_req and d_arbiter.err_align;
318
  be_load_o  <= d_arbiter.rd_req and d_arbiter.err_bus;
319
  ma_store_o <= d_arbiter.wr_req and d_arbiter.err_align;
320
  be_store_o <= d_arbiter.wr_req and d_arbiter.err_bus;
321
 
322
  -- data bus (read/write)--
323
  d_bus_addr_o  <= mar;
324
  d_bus_wdata_o <= d_bus_wdata;
325
  d_bus_ben_o   <= d_bus_ben;
326
  d_bus_we_o    <= ctrl_i(ctrl_bus_wr_c) and (not d_misaligned) and (not st_pmp_fault); -- no actual write when misaligned or PMP fault
327
  d_bus_re_o    <= ctrl_i(ctrl_bus_rd_c) and (not d_misaligned) and (not ld_pmp_fault); -- no actual read when misaligned or PMP fault
328
  d_bus_fence_o <= ctrl_i(ctrl_bus_fence_c);
329
  d_bus_rdata   <= d_bus_rdata_i;
330
  d_bus_lock_o  <= ctrl_i(ctrl_bus_lock_c);
331
 
332
 
333 12 zero_gravi
  -- Instruction Fetch Arbiter --------------------------------------------------------------
334
  -- -------------------------------------------------------------------------------------------
335 38 zero_gravi
  ifetch_arbiter: process(rstn_i, clk_i)
336 12 zero_gravi
  begin
337 38 zero_gravi
    if (rstn_i = '0') then
338
      i_arbiter.rd_req    <= '0';
339
      i_arbiter.err_align <= '0';
340
      i_arbiter.err_bus   <= '0';
341
      i_arbiter.timeout   <= (others => '0');
342
    elsif rising_edge(clk_i) then
343 12 zero_gravi
      -- instruction fetch request --
344
      if (i_arbiter.rd_req = '0') then -- idle
345
        i_arbiter.rd_req    <= ctrl_i(ctrl_bus_if_c);
346
        i_arbiter.err_align <= i_misaligned;
347
        i_arbiter.err_bus   <= '0';
348 41 zero_gravi
        i_arbiter.timeout   <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)));
349 12 zero_gravi
      else -- in progress
350
        i_arbiter.timeout   <= std_ulogic_vector(unsigned(i_arbiter.timeout) - 1);
351 40 zero_gravi
        i_arbiter.err_align <= (i_arbiter.err_align or i_misaligned)                                                     and (not ctrl_i(ctrl_bus_ierr_ack_c));
352
        i_arbiter.err_bus   <= (i_arbiter.err_bus   or (not or_all_f(i_arbiter.timeout)) or i_bus_err_i or if_pmp_fault) and (not ctrl_i(ctrl_bus_ierr_ack_c));
353 28 zero_gravi
        if (i_bus_ack_i = '1') or (ctrl_i(ctrl_bus_ierr_ack_c) = '1') then -- wait for normal termination / CPU abort
354 23 zero_gravi
          i_arbiter.rd_req <= '0';
355 2 zero_gravi
        end if;
356
      end if;
357
    end if;
358 12 zero_gravi
  end process ifetch_arbiter;
359 2 zero_gravi
 
360 36 zero_gravi
  i_arbiter.wr_req <= '0'; -- instruction fetch is read-only
361
 
362 28 zero_gravi
  -- cancel bus access --
363
  i_bus_cancel_o <= i_arbiter.rd_req and ctrl_i(ctrl_bus_ierr_ack_c);
364 2 zero_gravi
 
365 12 zero_gravi
  -- wait for bus transaction to finish --
366
  i_wait_o <= i_arbiter.rd_req and (not i_bus_ack_i);
367 2 zero_gravi
 
368 12 zero_gravi
  -- output instruction fetch error to controller --
369
  ma_instr_o <= i_arbiter.err_align;
370
  be_instr_o <= i_arbiter.err_bus;
371 11 zero_gravi
 
372 12 zero_gravi
  -- instruction bus (read-only) --
373 31 zero_gravi
  i_bus_addr_o  <= fetch_pc_i(data_width_c-1 downto 2) & "00"; -- instruction access is always 4-byte aligned (even for compressed instructions)
374 40 zero_gravi
  i_bus_wdata_o <= (others => '0'); -- instruction fetch is read-only
375 12 zero_gravi
  i_bus_ben_o   <= (others => '0');
376
  i_bus_we_o    <= '0';
377 15 zero_gravi
  i_bus_re_o    <= ctrl_i(ctrl_bus_if_c) and (not i_misaligned) and (not if_pmp_fault); -- no actual read when misaligned or PMP fault
378 12 zero_gravi
  i_bus_fence_o <= ctrl_i(ctrl_bus_fencei_c);
379
  instr_o       <= i_bus_rdata_i;
380 39 zero_gravi
  i_bus_lock_o  <= '0'; -- instruction fetch cannot be atomic
381 2 zero_gravi
 
382
 
383 39 zero_gravi
  -- check instruction access --
384
  i_misaligned <= '0' when (CPU_EXTENSION_RISCV_C = true) else -- no alignment exceptions possible when using C-extension
385
                  '1' when (fetch_pc_i(1) = '1') else '0'; -- 32-bit accesses only
386 2 zero_gravi
 
387
 
388 15 zero_gravi
  -- Physical Memory Protection (PMP) -------------------------------------------------------
389
  -- -------------------------------------------------------------------------------------------
390 40 zero_gravi
  -- compute address masks (ITERATIVE!!!) --
391 17 zero_gravi
  pmp_masks: process(clk_i)
392 15 zero_gravi
  begin
393 40 zero_gravi
    if rising_edge(clk_i) then -- address mask computation (not the actual address check!) has a latency of max +32 cycles
394
      for r in 0 to pmp_num_regions_c-1 loop -- iterate over all regions
395
        pmp.addr_mask(r) <= (others => '0');
396
        for i in pmp_g_c to data_width_c-1 loop
397
          pmp.addr_mask(r)(i) <= pmp.addr_mask(r)(i-1) or (not pmp_addr_i(r)(i-1));
398 17 zero_gravi
        end loop; -- i
399
      end loop; -- r
400
    end if;
401 15 zero_gravi
  end process pmp_masks;
402
 
403
 
404 40 zero_gravi
  -- address access check --
405
  pmp_address_check:
406
  for r in 0 to pmp_num_regions_c-1 generate -- iterate over all regions
407
    pmp.region_i_addr(r) <= fetch_pc_i                             and pmp.addr_mask(r);
408
    pmp.region_d_addr(r) <= mar                                    and pmp.addr_mask(r);
409
    pmp.region_base(r)   <= pmp_addr_i(r)(data_width_c+1 downto 2) and pmp.addr_mask(r);
410
    --
411
    pmp.i_match(r) <= '1' when (pmp.region_i_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
412
    pmp.d_match(r) <= '1' when (pmp.region_d_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
413 16 zero_gravi
  end generate; -- r
414 15 zero_gravi
 
415
 
416
  -- check access type and regions's permissions --
417 36 zero_gravi
  pmp_check_permission: process(pmp, pmp_ctrl_i, ctrl_i)
418 15 zero_gravi
  begin
419 40 zero_gravi
    for r in 0 to pmp_num_regions_c-1 loop -- iterate over all regions
420 36 zero_gravi
      if ((ctrl_i(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) = priv_mode_u_c) or (pmp_ctrl_i(r)(pmp_cfg_l_c) = '1')) and -- user privilege level or locked pmp entry -> enforce permissions also for machine mode
421
         (pmp_ctrl_i(r)(pmp_cfg_ah_c downto pmp_cfg_al_c) /= pmp_off_mode_c) then -- active entry
422 15 zero_gravi
        pmp.if_fault(r) <= pmp.i_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_x_c)); -- fetch access match no execute permission
423
        pmp.ld_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_r_c)); -- load access match no read permission
424
        pmp.st_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_w_c)); -- store access match no write permission
425
      else
426
        pmp.if_fault(r) <= '0';
427
        pmp.ld_fault(r) <= '0';
428
        pmp.st_fault(r) <= '0';
429
      end if;
430
    end loop; -- r
431
  end process pmp_check_permission;
432
 
433
 
434
  -- final PMP access fault signals --
435
  if_pmp_fault <= or_all_f(pmp.if_fault) when (PMP_USE = true) else '0';
436
  ld_pmp_fault <= or_all_f(pmp.ld_fault) when (PMP_USE = true) else '0';
437
  st_pmp_fault <= or_all_f(pmp.st_fault) when (PMP_USE = true) else '0';
438
 
439
 
440 2 zero_gravi
end neorv32_cpu_bus_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.