OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_bus.vhd] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Interface Unit >>                                                            #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # Instruction and data bus interfaces and physical memory protection (PMP).                     #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
9 2 zero_gravi
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_bus is
45
  generic (
46 41 zero_gravi
    CPU_EXTENSION_RISCV_C : boolean := true;  -- implement compressed extension?
47 15 zero_gravi
    -- Physical memory protection (PMP) --
48 42 zero_gravi
    PMP_NUM_REGIONS       : natural := 0;     -- number of regions (0..64)
49
    PMP_MIN_GRANULARITY   : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
50 41 zero_gravi
    -- Bus Timeout --
51
    BUS_TIMEOUT           : natural := 63     -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
52 2 zero_gravi
  );
53
  port (
54
    -- global control --
55 12 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
56 38 zero_gravi
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
57 12 zero_gravi
    ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
58
    -- cpu instruction fetch interface --
59
    fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
60
    instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
61
    i_wait_o       : out std_ulogic; -- wait for fetch to complete
62
    --
63
    ma_instr_o     : out std_ulogic; -- misaligned instruction address
64
    be_instr_o     : out std_ulogic; -- bus error on instruction access
65
    -- cpu data access interface --
66
    addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
67
    wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
68
    rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
69
    mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
70
    d_wait_o       : out std_ulogic; -- wait for access to complete
71
    --
72
    ma_load_o      : out std_ulogic; -- misaligned load data address
73
    ma_store_o     : out std_ulogic; -- misaligned store data address
74
    be_load_o      : out std_ulogic; -- bus error on load data access
75
    be_store_o     : out std_ulogic; -- bus error on store data access
76 15 zero_gravi
    -- physical memory protection --
77
    pmp_addr_i     : in  pmp_addr_if_t; -- addresses
78
    pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
79 12 zero_gravi
    -- instruction bus --
80
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
81
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
82
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
83
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
84
    i_bus_we_o     : out std_ulogic; -- write enable
85
    i_bus_re_o     : out std_ulogic; -- read enable
86
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
87
    i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
88
    i_bus_err_i    : in  std_ulogic; -- bus transfer error
89
    i_bus_fence_o  : out std_ulogic; -- fence operation
90 39 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
91 12 zero_gravi
    -- data bus --
92
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
93
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
94
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
95
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
96
    d_bus_we_o     : out std_ulogic; -- write enable
97
    d_bus_re_o     : out std_ulogic; -- read enable
98
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
99
    d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
100
    d_bus_err_i    : in  std_ulogic; -- bus transfer error
101 39 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- fence operation
102
    d_bus_lock_o   : out std_ulogic  -- locked/exclusive access
103 2 zero_gravi
  );
104
end neorv32_cpu_bus;
105
 
106
architecture neorv32_cpu_bus_rtl of neorv32_cpu_bus is
107
 
108 15 zero_gravi
  -- PMP modes --
109
  constant pmp_off_mode_c   : std_ulogic_vector(1 downto 0) := "00"; -- null region (disabled)
110 36 zero_gravi
--constant pmp_tor_mode_c   : std_ulogic_vector(1 downto 0) := "01"; -- top of range
111
--constant pmp_na4_mode_c   : std_ulogic_vector(1 downto 0) := "10"; -- naturally aligned four-byte region
112 15 zero_gravi
  constant pmp_napot_mode_c : std_ulogic_vector(1 downto 0) := "11"; -- naturally aligned power-of-two region (>= 8 bytes)
113
 
114 40 zero_gravi
  -- PMP granularity --
115 42 zero_gravi
  constant pmp_g_c : natural := index_size_f(PMP_MIN_GRANULARITY);
116 40 zero_gravi
 
117 15 zero_gravi
  -- PMP configuration register bits --
118
  constant pmp_cfg_r_c  : natural := 0; -- read permit
119
  constant pmp_cfg_w_c  : natural := 1; -- write permit
120
  constant pmp_cfg_x_c  : natural := 2; -- execute permit
121
  constant pmp_cfg_al_c : natural := 3; -- mode bit low
122
  constant pmp_cfg_ah_c : natural := 4; -- mode bit high
123
  constant pmp_cfg_l_c  : natural := 7; -- locked entry
124
 
125 12 zero_gravi
  -- data interface registers --
126 2 zero_gravi
  signal mar, mdo, mdi : std_ulogic_vector(data_width_c-1 downto 0);
127
 
128 12 zero_gravi
  -- data access --
129
  signal d_bus_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- write data
130
  signal d_bus_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- read data
131
  signal d_bus_ben   : std_ulogic_vector(3 downto 0); -- write data byte enable
132 2 zero_gravi
 
133
  -- misaligned access? --
134 12 zero_gravi
  signal d_misaligned, i_misaligned : std_ulogic;
135 2 zero_gravi
 
136 12 zero_gravi
  -- bus arbiter --
137
  type bus_arbiter_t is record
138
    rd_req    : std_ulogic; -- read access in progress
139
    wr_req    : std_ulogic; -- write access in progress
140
    err_align : std_ulogic; -- alignment error
141
    err_bus   : std_ulogic; -- bus access error
142 41 zero_gravi
    timeout   : std_ulogic_vector(index_size_f(BUS_TIMEOUT)-1 downto 0);
143 12 zero_gravi
  end record;
144
  signal i_arbiter, d_arbiter : bus_arbiter_t;
145
 
146 15 zero_gravi
  -- physical memory protection --
147 42 zero_gravi
  type pmp_addr_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
148 15 zero_gravi
  type pmp_t is record
149 40 zero_gravi
    addr_mask     : pmp_addr_t;
150
    region_base   : pmp_addr_t; -- region config base address
151 16 zero_gravi
    region_i_addr : pmp_addr_t; -- masked instruction access base address for comparator
152
    region_d_addr : pmp_addr_t; -- masked data access base address for comparator
153 42 zero_gravi
    i_match       : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for instruction interface
154
    d_match       : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for data interface
155
    if_fault      : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for fetch operation
156
    ld_fault      : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for load operation
157
    st_fault      : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for store operation
158 15 zero_gravi
  end record;
159
  signal pmp : pmp_t;
160
 
161 47 zero_gravi
  -- memory control signal buffer (when using PMP) --
162
  signal d_bus_we, d_bus_we_buf : std_ulogic;
163
  signal d_bus_re, d_bus_re_buf : std_ulogic;
164
  signal i_bus_re, i_bus_re_buf : std_ulogic;
165
 
166
  -- pmp faults anyone? --
167 15 zero_gravi
  signal if_pmp_fault : std_ulogic; -- pmp instruction access fault
168
  signal ld_pmp_fault : std_ulogic; -- pmp load access fault
169
  signal st_pmp_fault : std_ulogic; -- pmp store access fault
170
 
171 2 zero_gravi
begin
172
 
173 47 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
174
  -- -------------------------------------------------------------------------------------------
175
  assert not (PMP_NUM_REGIONS > pmp_num_regions_critical_c) report "NEORV32 CPU CONFIG WARNING! Number of implemented PMP regions (PMP_NUM_REGIONS = " & integer'image(PMP_NUM_REGIONS) & ") beyond critical limit (pmp_num_regions_critical_c = " & integer'image(pmp_num_regions_critical_c) & "). Inserting another register stage (that will increase memory latency by +1 cycle)." severity warning;
176
 
177
 
178 12 zero_gravi
  -- Data Interface: Access Address ---------------------------------------------------------
179 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
180 36 zero_gravi
  mem_adr_reg: process(clk_i)
181 2 zero_gravi
  begin
182 11 zero_gravi
    if rising_edge(clk_i) then
183 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
184 12 zero_gravi
        mar <= addr_i;
185 2 zero_gravi
      end if;
186
    end if;
187
  end process mem_adr_reg;
188
 
189 12 zero_gravi
  -- read-back for exception controller --
190
  mar_o <= mar;
191 2 zero_gravi
 
192 12 zero_gravi
  -- alignment check --
193
  misaligned_d_check: process(mar, ctrl_i)
194
  begin
195
    -- check data access --
196
    d_misaligned <= '0'; -- default
197
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
198
      when "00" => -- byte
199
        d_misaligned <= '0';
200
      when "01" => -- half-word
201
        if (mar(0) /= '0') then
202
          d_misaligned <= '1';
203
        end if;
204
      when others => -- word
205
        if (mar(1 downto 0) /= "00") then
206
          d_misaligned <= '1';
207
        end if;
208
    end case;
209
  end process misaligned_d_check;
210 2 zero_gravi
 
211
 
212 12 zero_gravi
  -- Data Interface: Write Data -------------------------------------------------------------
213 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
214
  mem_do_reg: process(clk_i)
215
  begin
216
    if rising_edge(clk_i) then
217 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
218 40 zero_gravi
        mdo <= wdata_i; -- memory data output register (MDO)
219 2 zero_gravi
      end if;
220
    end if;
221
  end process mem_do_reg;
222
 
223
  -- byte enable and output data alignment --
224
  byte_enable: process(mar, mdo, ctrl_i)
225
  begin
226
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
227
      when "00" => -- byte
228 12 zero_gravi
        d_bus_wdata(07 downto 00) <= mdo(07 downto 00);
229
        d_bus_wdata(15 downto 08) <= mdo(07 downto 00);
230
        d_bus_wdata(23 downto 16) <= mdo(07 downto 00);
231
        d_bus_wdata(31 downto 24) <= mdo(07 downto 00);
232 36 zero_gravi
        case mar(1 downto 0) is
233
          when "00"   => d_bus_ben <= "0001";
234
          when "01"   => d_bus_ben <= "0010";
235
          when "10"   => d_bus_ben <= "0100";
236
          when others => d_bus_ben <= "1000";
237
        end case;
238 2 zero_gravi
      when "01" => -- half-word
239 12 zero_gravi
        d_bus_wdata(31 downto 16) <= mdo(15 downto 00);
240
        d_bus_wdata(15 downto 00) <= mdo(15 downto 00);
241 2 zero_gravi
        if (mar(1) = '0') then
242 12 zero_gravi
          d_bus_ben <= "0011"; -- low half-word
243 2 zero_gravi
        else
244 12 zero_gravi
          d_bus_ben <= "1100"; -- high half-word
245 2 zero_gravi
        end if;
246
      when others => -- word
247 12 zero_gravi
        d_bus_wdata <= mdo;
248
        d_bus_ben   <= "1111"; -- full word
249 2 zero_gravi
    end case;
250
  end process byte_enable;
251
 
252
 
253 12 zero_gravi
  -- Data Interface: Read Data --------------------------------------------------------------
254 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
255
  mem_out_buf: process(clk_i)
256
  begin
257
    if rising_edge(clk_i) then
258 39 zero_gravi
      if (ctrl_i(ctrl_bus_mi_we_c) = '1') then
259 40 zero_gravi
        mdi <= d_bus_rdata; -- memory data input register (MDI)
260 2 zero_gravi
      end if;
261
    end if;
262
  end process mem_out_buf;
263
 
264 12 zero_gravi
  -- input data alignment and sign extension --
265 2 zero_gravi
  read_align: process(mdi, mar, ctrl_i)
266 36 zero_gravi
    variable byte_in_v  : std_ulogic_vector(07 downto 0);
267
    variable hword_in_v : std_ulogic_vector(15 downto 0);
268 2 zero_gravi
  begin
269 36 zero_gravi
    -- sub-word input --
270
    case mar(1 downto 0) is
271
      when "00"   => byte_in_v := mdi(07 downto 00); hword_in_v := mdi(15 downto 00); -- byte 0 / half-word 0
272
      when "01"   => byte_in_v := mdi(15 downto 08); hword_in_v := mdi(15 downto 00); -- byte 1 / half-word 0
273
      when "10"   => byte_in_v := mdi(23 downto 16); hword_in_v := mdi(31 downto 16); -- byte 2 / half-word 1
274
      when others => byte_in_v := mdi(31 downto 24); hword_in_v := mdi(31 downto 16); -- byte 3 / half-word 1
275
    end case;
276
    -- actual data size --
277
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is
278 2 zero_gravi
      when "00" => -- byte
279 36 zero_gravi
        rdata_o(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and byte_in_v(7))); -- sign extension
280
        rdata_o(07 downto 00) <= byte_in_v;
281 2 zero_gravi
      when "01" => -- half-word
282 36 zero_gravi
        rdata_o(31 downto 16) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and hword_in_v(15))); -- sign extension
283
        rdata_o(15 downto 00) <= hword_in_v; -- high half-word
284 2 zero_gravi
      when others => -- word
285
        rdata_o <= mdi; -- full word
286
    end case;
287
  end process read_align;
288
 
289
 
290 39 zero_gravi
  -- Data Access Arbiter --------------------------------------------------------------------
291 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
292 39 zero_gravi
  data_access_arbiter: process(rstn_i, clk_i)
293 2 zero_gravi
  begin
294 39 zero_gravi
    if (rstn_i = '0') then
295
      d_arbiter.wr_req    <= '0';
296
      d_arbiter.rd_req    <= '0';
297
      d_arbiter.err_align <= '0';
298
      d_arbiter.err_bus   <= '0';
299
      d_arbiter.timeout   <= (others => '0');
300
    elsif rising_edge(clk_i) then
301
      -- data access request --
302
      if (d_arbiter.wr_req = '0') and (d_arbiter.rd_req = '0') then -- idle
303
        d_arbiter.wr_req    <= ctrl_i(ctrl_bus_wr_c);
304
        d_arbiter.rd_req    <= ctrl_i(ctrl_bus_rd_c);
305
        d_arbiter.err_align <= d_misaligned;
306
        d_arbiter.err_bus   <= '0';
307 41 zero_gravi
        d_arbiter.timeout   <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)));
308 39 zero_gravi
      else -- in progress
309
        d_arbiter.timeout   <= std_ulogic_vector(unsigned(d_arbiter.timeout) - 1);
310 40 zero_gravi
        d_arbiter.err_align <= (d_arbiter.err_align or d_misaligned) and (not ctrl_i(ctrl_bus_derr_ack_c));
311
        d_arbiter.err_bus   <= (d_arbiter.err_bus   or (not or_all_f(d_arbiter.timeout)) or d_bus_err_i or
312
                                (st_pmp_fault and d_arbiter.wr_req) or (ld_pmp_fault and d_arbiter.rd_req)) and (not ctrl_i(ctrl_bus_derr_ack_c));
313 39 zero_gravi
        if (d_bus_ack_i = '1') or (ctrl_i(ctrl_bus_derr_ack_c) = '1') then -- wait for normal termination / CPU abort
314
          d_arbiter.wr_req <= '0';
315
          d_arbiter.rd_req <= '0';
316
        end if;
317
      end if;
318 12 zero_gravi
    end if;
319 39 zero_gravi
  end process data_access_arbiter;
320 12 zero_gravi
 
321 39 zero_gravi
  -- cancel bus access --
322
  d_bus_cancel_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and ctrl_i(ctrl_bus_derr_ack_c);
323 12 zero_gravi
 
324 39 zero_gravi
  -- wait for bus transaction to finish --
325
  d_wait_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and (not d_bus_ack_i);
326
 
327
  -- output data access error to controller --
328
  ma_load_o  <= d_arbiter.rd_req and d_arbiter.err_align;
329
  be_load_o  <= d_arbiter.rd_req and d_arbiter.err_bus;
330
  ma_store_o <= d_arbiter.wr_req and d_arbiter.err_align;
331
  be_store_o <= d_arbiter.wr_req and d_arbiter.err_bus;
332
 
333
  -- data bus (read/write)--
334
  d_bus_addr_o  <= mar;
335
  d_bus_wdata_o <= d_bus_wdata;
336
  d_bus_ben_o   <= d_bus_ben;
337 47 zero_gravi
  d_bus_we      <= ctrl_i(ctrl_bus_wr_c) and (not d_misaligned) and (not st_pmp_fault); -- no actual write when misaligned or PMP fault
338
  d_bus_re      <= ctrl_i(ctrl_bus_rd_c) and (not d_misaligned) and (not ld_pmp_fault); -- no actual read when misaligned or PMP fault
339
  d_bus_we_o    <= d_bus_we_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_we;
340
  d_bus_re_o    <= d_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_re;
341 39 zero_gravi
  d_bus_fence_o <= ctrl_i(ctrl_bus_fence_c);
342
  d_bus_rdata   <= d_bus_rdata_i;
343
  d_bus_lock_o  <= ctrl_i(ctrl_bus_lock_c);
344
 
345 47 zero_gravi
  -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c --
346
  pmp_dbus_buffer: process(rstn_i, clk_i)
347
  begin
348
    if (rstn_i = '0') then
349
      d_bus_we_buf <= '0';
350
      d_bus_re_buf <= '0';
351
    elsif rising_edge(clk_i) then
352
      d_bus_we_buf <= d_bus_we;
353
      d_bus_re_buf <= d_bus_re;
354
    end if;
355
  end process pmp_dbus_buffer;
356 39 zero_gravi
 
357 47 zero_gravi
 
358 12 zero_gravi
  -- Instruction Fetch Arbiter --------------------------------------------------------------
359
  -- -------------------------------------------------------------------------------------------
360 38 zero_gravi
  ifetch_arbiter: process(rstn_i, clk_i)
361 12 zero_gravi
  begin
362 38 zero_gravi
    if (rstn_i = '0') then
363
      i_arbiter.rd_req    <= '0';
364
      i_arbiter.err_align <= '0';
365
      i_arbiter.err_bus   <= '0';
366
      i_arbiter.timeout   <= (others => '0');
367
    elsif rising_edge(clk_i) then
368 12 zero_gravi
      -- instruction fetch request --
369
      if (i_arbiter.rd_req = '0') then -- idle
370
        i_arbiter.rd_req    <= ctrl_i(ctrl_bus_if_c);
371
        i_arbiter.err_align <= i_misaligned;
372
        i_arbiter.err_bus   <= '0';
373 41 zero_gravi
        i_arbiter.timeout   <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)));
374 12 zero_gravi
      else -- in progress
375
        i_arbiter.timeout   <= std_ulogic_vector(unsigned(i_arbiter.timeout) - 1);
376 40 zero_gravi
        i_arbiter.err_align <= (i_arbiter.err_align or i_misaligned)                                                     and (not ctrl_i(ctrl_bus_ierr_ack_c));
377
        i_arbiter.err_bus   <= (i_arbiter.err_bus   or (not or_all_f(i_arbiter.timeout)) or i_bus_err_i or if_pmp_fault) and (not ctrl_i(ctrl_bus_ierr_ack_c));
378 28 zero_gravi
        if (i_bus_ack_i = '1') or (ctrl_i(ctrl_bus_ierr_ack_c) = '1') then -- wait for normal termination / CPU abort
379 23 zero_gravi
          i_arbiter.rd_req <= '0';
380 2 zero_gravi
        end if;
381
      end if;
382
    end if;
383 12 zero_gravi
  end process ifetch_arbiter;
384 2 zero_gravi
 
385 36 zero_gravi
  i_arbiter.wr_req <= '0'; -- instruction fetch is read-only
386
 
387 28 zero_gravi
  -- cancel bus access --
388
  i_bus_cancel_o <= i_arbiter.rd_req and ctrl_i(ctrl_bus_ierr_ack_c);
389 2 zero_gravi
 
390 12 zero_gravi
  -- wait for bus transaction to finish --
391
  i_wait_o <= i_arbiter.rd_req and (not i_bus_ack_i);
392 2 zero_gravi
 
393 12 zero_gravi
  -- output instruction fetch error to controller --
394
  ma_instr_o <= i_arbiter.err_align;
395
  be_instr_o <= i_arbiter.err_bus;
396 11 zero_gravi
 
397 12 zero_gravi
  -- instruction bus (read-only) --
398 31 zero_gravi
  i_bus_addr_o  <= fetch_pc_i(data_width_c-1 downto 2) & "00"; -- instruction access is always 4-byte aligned (even for compressed instructions)
399 40 zero_gravi
  i_bus_wdata_o <= (others => '0'); -- instruction fetch is read-only
400 12 zero_gravi
  i_bus_ben_o   <= (others => '0');
401
  i_bus_we_o    <= '0';
402 47 zero_gravi
  i_bus_re      <= ctrl_i(ctrl_bus_if_c) and (not i_misaligned) and (not if_pmp_fault); -- no actual read when misaligned or PMP fault
403
  i_bus_re_o    <= i_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else i_bus_re;
404 12 zero_gravi
  i_bus_fence_o <= ctrl_i(ctrl_bus_fencei_c);
405
  instr_o       <= i_bus_rdata_i;
406 39 zero_gravi
  i_bus_lock_o  <= '0'; -- instruction fetch cannot be atomic
407 2 zero_gravi
 
408 39 zero_gravi
  -- check instruction access --
409
  i_misaligned <= '0' when (CPU_EXTENSION_RISCV_C = true) else -- no alignment exceptions possible when using C-extension
410
                  '1' when (fetch_pc_i(1) = '1') else '0'; -- 32-bit accesses only
411 2 zero_gravi
 
412 47 zero_gravi
  -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c --
413
  pmp_ibus_buffer: process(rstn_i, clk_i)
414
  begin
415
    if (rstn_i = '0') then
416
      i_bus_re_buf <= '0';
417
    elsif rising_edge(clk_i) then
418
      i_bus_re_buf <= i_bus_re;
419
    end if;
420
  end process pmp_ibus_buffer;
421 2 zero_gravi
 
422 47 zero_gravi
 
423 15 zero_gravi
  -- Physical Memory Protection (PMP) -------------------------------------------------------
424
  -- -------------------------------------------------------------------------------------------
425 40 zero_gravi
  -- compute address masks (ITERATIVE!!!) --
426 17 zero_gravi
  pmp_masks: process(clk_i)
427 15 zero_gravi
  begin
428 40 zero_gravi
    if rising_edge(clk_i) then -- address mask computation (not the actual address check!) has a latency of max +32 cycles
429 42 zero_gravi
      for r in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions
430 40 zero_gravi
        pmp.addr_mask(r) <= (others => '0');
431
        for i in pmp_g_c to data_width_c-1 loop
432
          pmp.addr_mask(r)(i) <= pmp.addr_mask(r)(i-1) or (not pmp_addr_i(r)(i-1));
433 17 zero_gravi
        end loop; -- i
434
      end loop; -- r
435
    end if;
436 15 zero_gravi
  end process pmp_masks;
437
 
438
 
439 40 zero_gravi
  -- address access check --
440
  pmp_address_check:
441 42 zero_gravi
  for r in 0 to PMP_NUM_REGIONS-1 generate -- iterate over all regions
442 40 zero_gravi
    pmp.region_i_addr(r) <= fetch_pc_i                             and pmp.addr_mask(r);
443
    pmp.region_d_addr(r) <= mar                                    and pmp.addr_mask(r);
444
    pmp.region_base(r)   <= pmp_addr_i(r)(data_width_c+1 downto 2) and pmp.addr_mask(r);
445
    --
446
    pmp.i_match(r) <= '1' when (pmp.region_i_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
447
    pmp.d_match(r) <= '1' when (pmp.region_d_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
448 16 zero_gravi
  end generate; -- r
449 15 zero_gravi
 
450
 
451
  -- check access type and regions's permissions --
452 36 zero_gravi
  pmp_check_permission: process(pmp, pmp_ctrl_i, ctrl_i)
453 15 zero_gravi
  begin
454 42 zero_gravi
    for r in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions
455 36 zero_gravi
      if ((ctrl_i(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) = priv_mode_u_c) or (pmp_ctrl_i(r)(pmp_cfg_l_c) = '1')) and -- user privilege level or locked pmp entry -> enforce permissions also for machine mode
456
         (pmp_ctrl_i(r)(pmp_cfg_ah_c downto pmp_cfg_al_c) /= pmp_off_mode_c) then -- active entry
457 15 zero_gravi
        pmp.if_fault(r) <= pmp.i_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_x_c)); -- fetch access match no execute permission
458
        pmp.ld_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_r_c)); -- load access match no read permission
459
        pmp.st_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_w_c)); -- store access match no write permission
460
      else
461
        pmp.if_fault(r) <= '0';
462
        pmp.ld_fault(r) <= '0';
463
        pmp.st_fault(r) <= '0';
464
      end if;
465
    end loop; -- r
466
  end process pmp_check_permission;
467
 
468
 
469
  -- final PMP access fault signals --
470 42 zero_gravi
  if_pmp_fault <= or_all_f(pmp.if_fault) when (PMP_NUM_REGIONS > 0) else '0';
471
  ld_pmp_fault <= or_all_f(pmp.ld_fault) when (PMP_NUM_REGIONS > 0) else '0';
472
  st_pmp_fault <= or_all_f(pmp.st_fault) when (PMP_NUM_REGIONS > 0) else '0';
473 15 zero_gravi
 
474
 
475 2 zero_gravi
end neorv32_cpu_bus_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.