OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 53

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 31 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an    #
5
-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction    #
6
-- # words) and an execute engine (responsible for actually executing the instructions), a trap    #
7 44 zero_gravi
-- # handling controller and the RISC-V status and control register set (CSRs) including the       #
8
-- # hardware performance monitor counters.                                                        #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_cpu_control is
49
  generic (
50
    -- General --
51 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
52 12 zero_gravi
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
53 2 zero_gravi
    -- RISC-V CPU Extensions --
54 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
55 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
56 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
57
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
58
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
59 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
60 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
61 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
62 49 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
63 15 zero_gravi
    -- Physical memory protection (PMP) --
64 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;       -- number of regions (0..64)
65
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
66
    -- Hardware Performance Monitors (HPM) --
67 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0      -- number of implemented HPM counters (0..29)
68 2 zero_gravi
  );
69
  port (
70
    -- global control --
71
    clk_i         : in  std_ulogic; -- global clock, rising edge
72
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
73
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
74
    -- status input --
75
    alu_wait_i    : in  std_ulogic; -- wait for ALU
76 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
77
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
78 2 zero_gravi
    -- data input --
79
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
80
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
81 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
82
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
83 2 zero_gravi
    -- data output --
84
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
85 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
86
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
87 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
88 52 zero_gravi
    -- FPU interface --
89
    fpu_rm_o      : out std_ulogic_vector(02 downto 0); -- rounding mode
90
    fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
91 14 zero_gravi
    -- interrupts (risc-v compliant) --
92
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
93
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
94 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
95 14 zero_gravi
    -- fast interrupts (custom) --
96 48 zero_gravi
    firq_i        : in  std_ulogic_vector(15 downto 0);
97
    firq_ack_o    : out std_ulogic_vector(15 downto 0);
98 11 zero_gravi
    -- system time input from MTIME --
99
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
100 15 zero_gravi
    -- physical memory protection --
101 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
102
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
103 2 zero_gravi
    -- bus access exceptions --
104
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
105
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
106
    ma_load_i     : in  std_ulogic; -- misaligned load data address
107
    ma_store_i    : in  std_ulogic; -- misaligned store data address
108
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
109
    be_load_i     : in  std_ulogic; -- bus error on load data access
110 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
111 2 zero_gravi
  );
112
end neorv32_cpu_control;
113
 
114
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
115
 
116 6 zero_gravi
  -- instruction fetch enginge --
117 31 zero_gravi
  type fetch_engine_state_t is (IFETCH_RESET, IFETCH_REQUEST, IFETCH_ISSUE);
118 6 zero_gravi
  type fetch_engine_t is record
119 31 zero_gravi
    state       : fetch_engine_state_t;
120
    state_nxt   : fetch_engine_state_t;
121 42 zero_gravi
    state_prev  : fetch_engine_state_t;
122 31 zero_gravi
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
123
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
124
    reset       : std_ulogic;
125
    bus_err_ack : std_ulogic;
126 6 zero_gravi
  end record;
127
  signal fetch_engine : fetch_engine_t;
128 2 zero_gravi
 
129 32 zero_gravi
  -- instrucion prefetch buffer (IPB, real FIFO) --
130 31 zero_gravi
  type ipb_data_fifo_t is array (0 to ipb_entries_c-1) of std_ulogic_vector(2+31 downto 0);
131 6 zero_gravi
  type ipb_t is record
132 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
133
    we    : std_ulogic; -- trigger write
134
    free  : std_ulogic; -- free entry available?
135
    clear : std_ulogic; -- clear all entries
136 20 zero_gravi
    --
137 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
138
    re    : std_ulogic; -- read enable
139
    avail : std_ulogic; -- data available?
140 20 zero_gravi
    --
141 31 zero_gravi
    w_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- write pointer
142
    r_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- read pointer
143 34 zero_gravi
    match : std_ulogic;
144 31 zero_gravi
    empty : std_ulogic;
145
    full  : std_ulogic;
146 20 zero_gravi
    --
147 31 zero_gravi
    data  : ipb_data_fifo_t; -- fifo memory
148 6 zero_gravi
  end record;
149
  signal ipb : ipb_t;
150 2 zero_gravi
 
151 31 zero_gravi
  -- pre-decoder --
152
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
153
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
154
  signal ci_illegal : std_ulogic;
155
 
156
  -- instruction issue enginge --
157
  type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN);
158
  type issue_engine_t is record
159
    state     : issue_engine_state_t;
160
    state_nxt : issue_engine_state_t;
161
    align     : std_ulogic;
162
    align_nxt : std_ulogic;
163
    buf       : std_ulogic_vector(2+15 downto 0);
164
    buf_nxt   : std_ulogic_vector(2+15 downto 0);
165
  end record;
166
  signal issue_engine : issue_engine_t;
167
 
168 37 zero_gravi
  -- instruction issue interface --
169
  type cmd_issue_t is record
170
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
171
    valid : std_ulogic; -- data word is valid when set
172 31 zero_gravi
  end record;
173 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
174 31 zero_gravi
 
175 44 zero_gravi
  -- instruction decoding helper logic --
176
  type decode_aux_t is record
177
    alu_immediate   : std_ulogic;
178
    rs1_is_r0       : std_ulogic;
179
    is_atomic_lr    : std_ulogic;
180
    is_atomic_sc    : std_ulogic;
181
    is_bitmanip_imm : std_ulogic;
182
    is_bitmanip_reg : std_ulogic;
183 53 zero_gravi
    is_float_op     : std_ulogic;
184 49 zero_gravi
    sys_env_cmd     : std_ulogic_vector(11 downto 0);
185 44 zero_gravi
  end record;
186
  signal decode_aux : decode_aux_t;
187
 
188 6 zero_gravi
  -- instruction execution engine --
189 53 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP_ENTER, TRAP_EXIT, TRAP_EXECUTE, EXECUTE, ALU_WAIT, BRANCH,
190
                                  FENCE_OP,LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, ATOMIC_SC_EVAL, SYS_ENV, CSR_ACCESS);
191 6 zero_gravi
  type execute_engine_t is record
192
    state        : execute_engine_state_t;
193
    state_nxt    : execute_engine_state_t;
194 42 zero_gravi
    state_prev   : execute_engine_state_t;
195 39 zero_gravi
    --
196 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
197
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
198 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
199 39 zero_gravi
    --
200 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
201
    is_ci_nxt    : std_ulogic;
202 29 zero_gravi
    is_cp_op     : std_ulogic; -- current instruction is a co-processor operation
203
    is_cp_op_nxt : std_ulogic;
204 39 zero_gravi
    --
205 6 zero_gravi
    branch_taken : std_ulogic; -- branch condition fullfilled
206
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
207 49 zero_gravi
    pc_mux_sel   : std_ulogic; -- source select for PC update
208 39 zero_gravi
    pc_we        : std_ulogic; -- PC update enabled
209 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
210 49 zero_gravi
    next_pc_inc  : std_ulogic_vector(data_width_c-1 downto 0); -- increment to get next PC
211 6 zero_gravi
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
212 39 zero_gravi
    --
213 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
214 39 zero_gravi
    sleep_nxt    : std_ulogic;
215 49 zero_gravi
    branched     : std_ulogic; -- instruction fetch was reset
216
    branched_nxt : std_ulogic;
217 6 zero_gravi
  end record;
218
  signal execute_engine : execute_engine_t;
219 2 zero_gravi
 
220 6 zero_gravi
  -- trap controller --
221
  type trap_ctrl_t is record
222
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
223
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
224
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
225 48 zero_gravi
    firq_sync     : std_ulogic_vector(15 downto 0);
226 6 zero_gravi
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
227
    exc_ack       : std_ulogic; -- acknowledge all exceptions
228
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
229
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
230 40 zero_gravi
    cause         : std_ulogic_vector(5 downto 0); -- trap ID for mcause CSR
231 14 zero_gravi
    cause_nxt     : std_ulogic_vector(5 downto 0);
232 6 zero_gravi
    --
233
    env_start     : std_ulogic; -- start trap handler env
234
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
235
    env_end       : std_ulogic; -- end trap handler env
236
    --
237
    instr_be      : std_ulogic; -- instruction fetch bus error
238
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
239
    instr_il      : std_ulogic; -- illegal instruction
240
    env_call      : std_ulogic;
241
    break_point   : std_ulogic;
242
  end record;
243
  signal trap_ctrl : trap_ctrl_t;
244
 
245 40 zero_gravi
  -- CPU main control bus --
246 6 zero_gravi
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
247 2 zero_gravi
 
248 40 zero_gravi
  -- fast instruction fetch access --
249 6 zero_gravi
  signal bus_fast_ir : std_ulogic;
250 2 zero_gravi
 
251 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
252 42 zero_gravi
  type pmp_ctrl_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
253
  type pmp_addr_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
254
  type pmp_ctrl_rd_t  is array (0 to 63) of std_ulogic_vector(7 downto 0);
255
  type pmp_addr_rd_t  is array (0 to 63) of std_ulogic_vector(data_width_c-1 downto 0);
256
  type mhpmevent_t    is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
257
  type mhpmcnt_t      is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(32 downto 0);
258
  type mhpmcnth_t     is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(31 downto 0);
259
  type mhpmevent_rd_t is array (0 to 29) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
260
  type mhpmcnt_rd_t   is array (0 to 29) of std_ulogic_vector(32 downto 0);
261
  type mhpmcnth_rd_t  is array (0 to 29) of std_ulogic_vector(31 downto 0);
262 6 zero_gravi
  type csr_t is record
263 42 zero_gravi
    addr              : std_ulogic_vector(11 downto 0); -- csr address
264
    we                : std_ulogic; -- csr write enable
265
    we_nxt            : std_ulogic;
266
    re                : std_ulogic; -- csr read enable
267
    re_nxt            : std_ulogic;
268
    wdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
269
    rdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
270 29 zero_gravi
    --
271 42 zero_gravi
    mstatus_mie       : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
272
    mstatus_mpie      : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W)
273
    mstatus_mpp       : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
274 29 zero_gravi
    --
275 42 zero_gravi
    mie_msie          : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
276
    mie_meie          : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
277
    mie_mtie          : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W)
278 48 zero_gravi
    mie_firqe         : std_ulogic_vector(15 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
279 29 zero_gravi
    --
280 42 zero_gravi
    mcounteren_cy     : std_ulogic; -- mcounteren.cy: allow cycle[h] access from user-mode
281
    mcounteren_tm     : std_ulogic; -- mcounteren.tm: allow time[h] access from user-mode
282
    mcounteren_ir     : std_ulogic; -- mcounteren.ir: allow instret[h] access from user-mode
283
    mcounteren_hpm    : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounteren.hpmx: allow mhpmcounterx[h] access from user-mode
284 29 zero_gravi
    --
285 42 zero_gravi
    mcountinhibit_cy  : std_ulogic; -- mcounterinhibit.cy: enable auto-increment for [m]cycle[h]
286
    mcountinhibit_ir  : std_ulogic; -- mcounterinhibit.ir: enable auto-increment for [m]instret[h]
287
    mcountinhibit_hpm : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounterinhibit.hpm3: enable auto-increment for mhpmcounterx[h]
288 40 zero_gravi
    --
289 42 zero_gravi
    mip_status        : std_ulogic_vector(interrupt_width_c-1  downto 0); -- current buffered IRQs
290
    mip_clear         : std_ulogic_vector(interrupt_width_c-1  downto 0); -- set bits clear the according buffered IRQ
291 41 zero_gravi
    --
292 42 zero_gravi
    privilege         : std_ulogic_vector(1 downto 0); -- hart's current privilege mode
293
    priv_m_mode       : std_ulogic; -- CPU in M-mode
294
    priv_u_mode       : std_ulogic; -- CPU in u-mode
295 41 zero_gravi
    --
296 42 zero_gravi
    mepc              : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
297 49 zero_gravi
    mcause            : std_ulogic_vector(5 downto 0); -- mcause: machine trap cause (R/W)
298 42 zero_gravi
    mtvec             : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
299 49 zero_gravi
    mtval             : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or instruction (R/W)
300 42 zero_gravi
    --
301
    mhpmevent         : mhpmevent_t; -- mhpmevent*: machine performance-monitoring event selector (R/W)
302
    mhpmevent_rd      : mhpmevent_rd_t; -- mhpmevent*: actual read data
303
    --
304
    mscratch          : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
305
    mcycle            : std_ulogic_vector(32 downto 0); -- mcycle (R/W), plus carry bit
306
    minstret          : std_ulogic_vector(32 downto 0); -- minstret (R/W), plus carry bit
307
    --
308
    mcycleh           : std_ulogic_vector(31 downto 0); -- mcycleh (R/W)
309
    minstreth         : std_ulogic_vector(31 downto 0); -- minstreth (R/W)
310
    --
311
    mhpmcounter       : mhpmcnt_t; -- mhpmcounter* (R/W), plus carry bit
312
    mhpmcounterh      : mhpmcnth_t; -- mhpmcounter*h (R/W)
313
    mhpmcounter_rd    : mhpmcnt_rd_t; -- mhpmcounter* (R/W): actual read data
314
    mhpmcounterh_rd   : mhpmcnth_rd_t; -- mhpmcounter*h (R/W): actual read data
315
    --
316
    pmpcfg            : pmp_ctrl_t; -- physical memory protection - configuration registers
317
    pmpcfg_rd         : pmp_ctrl_rd_t; -- physical memory protection - actual read data
318
    pmpaddr           : pmp_addr_t; -- physical memory protection - address registers
319
    pmpaddr_rd        : pmp_addr_rd_t; -- physical memory protection - actual read data
320 52 zero_gravi
    --
321
    frm               : std_ulogic_vector(02 downto 0); -- frm (R/W): FPU rounding mode
322
    fflags            : std_ulogic_vector(04 downto 0); -- fflags (R/W): FPU exception flags
323 6 zero_gravi
  end record;
324
  signal csr : csr_t;
325 2 zero_gravi
 
326 42 zero_gravi
  -- counter low-to-high-word carry --
327
  signal mcycle_msb      : std_ulogic;
328
  signal minstret_msb    : std_ulogic;
329
  signal mhpmcounter_msb : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0);
330 2 zero_gravi
 
331 42 zero_gravi
  -- (hpm) counter events --
332
  signal cnt_event, cnt_event_nxt : std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
333
  signal hpmcnt_trigger           : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0);
334
 
335 6 zero_gravi
  -- illegal instruction check --
336 36 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- if opcode != rv32
337 2 zero_gravi
  signal illegal_instruction : std_ulogic;
338
  signal illegal_register    : std_ulogic; -- only for E-extension
339
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
340
 
341 15 zero_gravi
  -- access (privilege) check --
342
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
343
 
344 2 zero_gravi
begin
345
 
346 6 zero_gravi
-- ****************************************************************************************************************************
347 31 zero_gravi
-- Instruction Fetch (always fetches aligned 32-bit chunks of data)
348 6 zero_gravi
-- ****************************************************************************************************************************
349
 
350
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
351
  -- -------------------------------------------------------------------------------------------
352 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
353 6 zero_gravi
  begin
354
    if (rstn_i = '0') then
355 42 zero_gravi
      fetch_engine.state      <= IFETCH_RESET;
356
      fetch_engine.state_prev <= IFETCH_RESET;
357
      fetch_engine.pc         <= (others => '0');
358 6 zero_gravi
    elsif rising_edge(clk_i) then
359
      if (fetch_engine.reset = '1') then
360
        fetch_engine.state <= IFETCH_RESET;
361
      else
362
        fetch_engine.state <= fetch_engine.state_nxt;
363
      end if;
364 42 zero_gravi
      fetch_engine.state_prev <= fetch_engine.state;
365
      fetch_engine.pc         <= fetch_engine.pc_nxt;
366 6 zero_gravi
    end if;
367
  end process fetch_engine_fsm_sync;
368
 
369 12 zero_gravi
  -- PC output --
370 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
371 6 zero_gravi
 
372 12 zero_gravi
 
373 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
374
  -- -------------------------------------------------------------------------------------------
375 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
376 6 zero_gravi
  begin
377
    -- arbiter defaults --
378 31 zero_gravi
    bus_fast_ir              <= '0';
379
    fetch_engine.state_nxt   <= fetch_engine.state;
380
    fetch_engine.pc_nxt      <= fetch_engine.pc;
381
    fetch_engine.bus_err_ack <= '0';
382 6 zero_gravi
 
383
    -- instruction prefetch buffer interface --
384
    ipb.we    <= '0';
385 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
386 6 zero_gravi
    ipb.clear <= '0';
387
 
388
    -- state machine --
389
    case fetch_engine.state is
390
 
391 49 zero_gravi
      when IFETCH_RESET => -- reset engine and prefetch buffer, get application PC
392 6 zero_gravi
      -- ------------------------------------------------------------
393 31 zero_gravi
        fetch_engine.bus_err_ack <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them / terminate current transfer
394
        fetch_engine.pc_nxt      <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
395
        ipb.clear                <= '1'; -- clear prefetch buffer
396
        fetch_engine.state_nxt   <= IFETCH_REQUEST;
397 6 zero_gravi
 
398 31 zero_gravi
      when IFETCH_REQUEST => -- output current PC to bus system and request 32-bit (aligned!) instruction data
399 6 zero_gravi
      -- ------------------------------------------------------------
400 31 zero_gravi
        if (ipb.free = '1') then -- free entry in buffer?
401
          bus_fast_ir            <= '1'; -- fast instruction fetch request
402
          fetch_engine.state_nxt <= IFETCH_ISSUE;
403
        end if;
404 6 zero_gravi
 
405 31 zero_gravi
      when IFETCH_ISSUE => -- store instruction data to prefetch buffer
406 6 zero_gravi
      -- ------------------------------------------------------------
407 41 zero_gravi
        fetch_engine.bus_err_ack <= be_instr_i or ma_instr_i; -- ACK bus/alignment errors
408 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
409 39 zero_gravi
          fetch_engine.pc_nxt    <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
410
          ipb.we                 <= '1';
411
          fetch_engine.state_nxt <= IFETCH_REQUEST;
412 6 zero_gravi
        end if;
413 11 zero_gravi
 
414 6 zero_gravi
      when others => -- undefined
415
      -- ------------------------------------------------------------
416
        fetch_engine.state_nxt <= IFETCH_RESET;
417
 
418
    end case;
419
  end process fetch_engine_fsm_comb;
420
 
421
 
422
-- ****************************************************************************************************************************
423
-- Instruction Prefetch Buffer
424
-- ****************************************************************************************************************************
425
 
426 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
427 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
428 36 zero_gravi
  instr_prefetch_buffer: process(clk_i)
429 6 zero_gravi
  begin
430 36 zero_gravi
    if rising_edge(clk_i) then
431 20 zero_gravi
      -- write port --
432 6 zero_gravi
      if (ipb.clear = '1') then
433 20 zero_gravi
        ipb.w_pnt <= (others => '0');
434 6 zero_gravi
      elsif (ipb.we = '1') then
435 20 zero_gravi
        ipb.w_pnt <= std_ulogic_vector(unsigned(ipb.w_pnt) + 1);
436
      end if;
437 37 zero_gravi
      if (ipb.we = '1') then -- write data
438 36 zero_gravi
        ipb.data(to_integer(unsigned(ipb.w_pnt(ipb.w_pnt'left-1 downto 0)))) <= ipb.wdata;
439
      end if;
440
      -- read port --
441 20 zero_gravi
      if (ipb.clear = '1') then
442
        ipb.r_pnt <= (others => '0');
443 6 zero_gravi
      elsif (ipb.re = '1') then
444 20 zero_gravi
        ipb.r_pnt <= std_ulogic_vector(unsigned(ipb.r_pnt) + 1);
445 6 zero_gravi
      end if;
446 20 zero_gravi
    end if;
447 36 zero_gravi
  end process instr_prefetch_buffer;
448 20 zero_gravi
 
449
  -- async read --
450 31 zero_gravi
  ipb.rdata <= ipb.data(to_integer(unsigned(ipb.r_pnt(ipb.r_pnt'left-1 downto 0))));
451 20 zero_gravi
 
452 6 zero_gravi
  -- status --
453 40 zero_gravi
  ipb.match <= '1' when (ipb.r_pnt(ipb.r_pnt'left-1 downto 0) = ipb.w_pnt(ipb.w_pnt'left-1 downto 0))  else '0';
454 34 zero_gravi
  ipb.full  <= '1' when (ipb.r_pnt(ipb.r_pnt'left) /= ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
455
  ipb.empty <= '1' when (ipb.r_pnt(ipb.r_pnt'left)  = ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
456 20 zero_gravi
  ipb.free  <= not ipb.full;
457
  ipb.avail <= not ipb.empty;
458 6 zero_gravi
 
459
 
460
-- ****************************************************************************************************************************
461 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
462
-- ****************************************************************************************************************************
463
 
464
  -- Issue Engine FSM Sync ------------------------------------------------------------------
465
  -- -------------------------------------------------------------------------------------------
466
  issue_engine_fsm_sync: process(rstn_i, clk_i)
467
  begin
468
    if (rstn_i = '0') then
469
      issue_engine.state <= ISSUE_ACTIVE;
470 40 zero_gravi
      issue_engine.align <= CPU_BOOT_ADDR(1); -- 32- or 16-bit boundary
471 31 zero_gravi
      issue_engine.buf   <= (others => '0');
472
    elsif rising_edge(clk_i) then
473
      if (ipb.clear = '1') then
474
        if (CPU_EXTENSION_RISCV_C = true) then
475
          if (execute_engine.pc(1) = '1') then -- branch to unaligned address?
476
            issue_engine.state <= ISSUE_REALIGN;
477
            issue_engine.align <= '1'; -- aligned on 16-bit boundary
478
          else
479
            issue_engine.state <= issue_engine.state_nxt;
480
            issue_engine.align <= '0'; -- aligned on 32-bit boundary
481
          end if;
482
        else
483
          issue_engine.state <= issue_engine.state_nxt;
484
          issue_engine.align <= '0'; -- always aligned on 32-bit boundaries
485
        end if;
486
      else
487
        issue_engine.state <= issue_engine.state_nxt;
488
        issue_engine.align <= issue_engine.align_nxt;
489
      end if;
490
      issue_engine.buf <= issue_engine.buf_nxt;
491
    end if;
492
  end process issue_engine_fsm_sync;
493
 
494
 
495
  -- Issue Engine FSM Comb ------------------------------------------------------------------
496
  -- -------------------------------------------------------------------------------------------
497 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
498 31 zero_gravi
  begin
499
    -- arbiter defaults --
500
    issue_engine.state_nxt <= issue_engine.state;
501
    issue_engine.align_nxt <= issue_engine.align;
502
    issue_engine.buf_nxt   <= issue_engine.buf;
503
 
504
    -- instruction prefetch buffer interface defaults --
505
    ipb.re <= '0';
506
 
507 37 zero_gravi
    -- instruction issue interface defaults --
508
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
509
    cmd_issue.data  <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
510
    cmd_issue.valid <= '0';
511 31 zero_gravi
 
512
    -- state machine --
513
    case issue_engine.state is
514
 
515
      when ISSUE_ACTIVE => -- issue instruction if available
516
      -- ------------------------------------------------------------
517
        if (ipb.avail = '1') then -- instructions available?
518
 
519
          if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
520 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
521 39 zero_gravi
              cmd_issue.valid      <= '1';
522 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
523
              if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned"
524 37 zero_gravi
                ipb.re <= '1';
525
                cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
526 31 zero_gravi
              else -- compressed
527 37 zero_gravi
                ipb.re <= '1';
528
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
529 31 zero_gravi
                issue_engine.align_nxt <= '1';
530
              end if;
531
            end if;
532
 
533
          else -- begin check in HIGH instruction half-word
534 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
535 39 zero_gravi
              cmd_issue.valid      <= '1';
536 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
537
              if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned"
538 37 zero_gravi
                ipb.re <= '1';
539
                cmd_issue.data <= '0' & issue_engine.buf(17 downto 16) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
540 31 zero_gravi
              else -- compressed
541 36 zero_gravi
                -- do not read from ipb here!
542 37 zero_gravi
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
543 31 zero_gravi
                issue_engine.align_nxt <= '0';
544
              end if;
545
            end if;
546
          end if;
547
        end if;
548
 
549
      when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address
550
      -- ------------------------------------------------------------
551
        issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
552
        if (ipb.avail = '1') then -- instructions available?
553
          ipb.re <= '1';
554
          issue_engine.state_nxt <= ISSUE_ACTIVE;
555
        end if;
556
 
557
      when others => -- undefined
558
      -- ------------------------------------------------------------
559
        issue_engine.state_nxt <= ISSUE_ACTIVE;
560
 
561
    end case;
562
  end process issue_engine_fsm_comb;
563
 
564 41 zero_gravi
  -- 16-bit instructions: half-word select --
565 31 zero_gravi
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
566
 
567
 
568
  -- Compressed Instructions Recoding -------------------------------------------------------
569
  -- -------------------------------------------------------------------------------------------
570
  neorv32_cpu_decompressor_inst_true:
571
  if (CPU_EXTENSION_RISCV_C = true) generate
572
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
573
    port map (
574
      -- instruction input --
575
      ci_instr16_i => ci_instr16, -- compressed instruction input
576
      -- instruction output --
577
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
578
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
579
    );
580
  end generate;
581
 
582
  neorv32_cpu_decompressor_inst_false:
583
  if (CPU_EXTENSION_RISCV_C = false) generate
584
    ci_instr32 <= (others => '0');
585
    ci_illegal <= '0';
586
  end generate;
587
 
588
 
589
-- ****************************************************************************************************************************
590 6 zero_gravi
-- Instruction Execution
591
-- ****************************************************************************************************************************
592
 
593 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
594
  -- -------------------------------------------------------------------------------------------
595 38 zero_gravi
  imm_gen: process(execute_engine.i_reg, clk_i)
596 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
597 2 zero_gravi
  begin
598 38 zero_gravi
    opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
599 2 zero_gravi
    if rising_edge(clk_i) then
600 49 zero_gravi
      if (execute_engine.state = BRANCH) then -- next_PC as immediate for jump-and-link operations (=return address) via ALU.MOV_B
601 39 zero_gravi
        imm_o <= execute_engine.next_pc;
602 49 zero_gravi
      else -- "normal" immediate from instruction word
603
        case opcode_v is -- save some bits here, the two LSBs are always "11" for rv32
604 53 zero_gravi
          when opcode_store_c => -- S-immediate
605 39 zero_gravi
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
606
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
607
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
608
            imm_o(00)           <= execute_engine.i_reg(07);
609
          when opcode_branch_c => -- B-immediate
610
            imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
611
            imm_o(11)           <= execute_engine.i_reg(07);
612
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
613
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
614
            imm_o(00)           <= '0';
615
          when opcode_lui_c | opcode_auipc_c => -- U-immediate
616
            imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
617
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
618
            imm_o(11 downto 00) <= (others => '0');
619
          when opcode_jal_c => -- J-immediate
620
            imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
621
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
622
            imm_o(11)           <= execute_engine.i_reg(20);
623
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
624
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
625
            imm_o(00)           <= '0';
626
          when opcode_atomic_c => -- atomic memory access
627 40 zero_gravi
            imm_o               <= (others => '0'); -- effective address is addr = reg + 0 = reg
628 39 zero_gravi
          when others => -- I-immediate
629
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
630
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
631
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
632
            imm_o(00)           <= execute_engine.i_reg(20);
633
        end case;
634
      end if;
635 2 zero_gravi
    end if;
636
  end process imm_gen;
637
 
638
 
639
  -- Branch Condition Check -----------------------------------------------------------------
640
  -- -------------------------------------------------------------------------------------------
641 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
642 2 zero_gravi
  begin
643 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
644 2 zero_gravi
      when funct3_beq_c => -- branch if equal
645 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_equal_c);
646 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
647 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_equal_c);
648 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
649 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_less_c);
650 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
651 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_less_c);
652 2 zero_gravi
      when others => -- undefined
653 6 zero_gravi
        execute_engine.branch_taken <= '0';
654 2 zero_gravi
    end case;
655
  end process branch_check;
656
 
657
 
658 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
659 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
660 12 zero_gravi
  -- for registers that DO require a specific reset state --
661 6 zero_gravi
  execute_engine_fsm_sync_rst: process(rstn_i, clk_i)
662 2 zero_gravi
  begin
663
    if (rstn_i = '0') then
664 49 zero_gravi
      execute_engine.pc       <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
665
      execute_engine.state    <= SYS_WAIT;
666
      execute_engine.sleep    <= '0';
667
      execute_engine.branched <= '1'; -- reset is a branch from "somewhere"
668 2 zero_gravi
    elsif rising_edge(clk_i) then
669 39 zero_gravi
      -- PC update --
670
      if (execute_engine.pc_we = '1') then
671 49 zero_gravi
        if (execute_engine.pc_mux_sel = '0') then
672
          execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment
673
        else
674
          execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/taken_branch
675
        end if;
676 39 zero_gravi
      end if;
677
      --
678 49 zero_gravi
      execute_engine.state    <= execute_engine.state_nxt;
679
      execute_engine.sleep    <= execute_engine.sleep_nxt;
680
      execute_engine.branched <= execute_engine.branched_nxt;
681 2 zero_gravi
    end if;
682 6 zero_gravi
  end process execute_engine_fsm_sync_rst;
683 2 zero_gravi
 
684 6 zero_gravi
 
685 12 zero_gravi
  -- for registers that do NOT require a specific reset state --
686 6 zero_gravi
  execute_engine_fsm_sync: process(clk_i)
687 2 zero_gravi
  begin
688
    if rising_edge(clk_i) then
689 42 zero_gravi
      execute_engine.state_prev <= execute_engine.state;
690
      execute_engine.i_reg      <= execute_engine.i_reg_nxt;
691
      execute_engine.is_ci      <= execute_engine.is_ci_nxt;
692
      execute_engine.is_cp_op   <= execute_engine.is_cp_op_nxt;
693 49 zero_gravi
      -- PC & IR of "last executed" instruction --
694 40 zero_gravi
      if (execute_engine.state = EXECUTE) then
695
        execute_engine.last_pc    <= execute_engine.pc;
696 39 zero_gravi
        execute_engine.i_reg_last <= execute_engine.i_reg;
697
      end if;
698 49 zero_gravi
      -- next PC --
699
      case execute_engine.state is
700
        when TRAP_ENTER => execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
701
        when TRAP_EXIT  => execute_engine.next_pc <= csr.mepc(data_width_c-1 downto 1) & '0'; -- trap exit
702
        when EXECUTE    => execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + unsigned(execute_engine.next_pc_inc)); -- next linear PC
703
        when others     => NULL;
704
      end case;
705 39 zero_gravi
      -- main control bus --
706 6 zero_gravi
      ctrl <= ctrl_nxt;
707 2 zero_gravi
    end if;
708 6 zero_gravi
  end process execute_engine_fsm_sync;
709 2 zero_gravi
 
710 49 zero_gravi
  -- PC increment for next linear instruction (+2 for compressed instr., +4 otherwise) --
711
  execute_engine.next_pc_inc <= x"00000004" when ((execute_engine.is_ci = '0') or (CPU_EXTENSION_RISCV_C = false)) else x"00000002";
712 41 zero_gravi
 
713 20 zero_gravi
  -- PC output --
714 39 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- PC for ALU ops
715 6 zero_gravi
 
716 49 zero_gravi
  -- CSR access address --
717
  csr.addr <= execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c);
718 20 zero_gravi
 
719 49 zero_gravi
 
720 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
721
  -- -------------------------------------------------------------------------------------------
722 53 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, bus_fast_ir, execute_engine, csr)
723 2 zero_gravi
  begin
724 36 zero_gravi
    -- signals from execute engine --
725 2 zero_gravi
    ctrl_o <= ctrl;
726 36 zero_gravi
    -- current privilege level --
727
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege;
728
    -- register addresses --
729 40 zero_gravi
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
730
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
731
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
732 12 zero_gravi
    -- fast bus access requests --
733 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
734 12 zero_gravi
    -- bus error control --
735 47 zero_gravi
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack; -- instruction fetch bus access error ACK
736
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack; -- data access bus error access ACK
737
    -- memory access size / sign --
738
    ctrl_o(ctrl_bus_unsigned_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
739
    ctrl_o(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
740
    -- alu.shifter --
741
    ctrl_o(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
742
    ctrl_o(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
743 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
744 44 zero_gravi
    ctrl_o(ctrl_ir_opcode7_6_c  downto ctrl_ir_opcode7_0_c) <= execute_engine.i_reg(instr_opcode_msb_c  downto instr_opcode_lsb_c);
745 36 zero_gravi
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
746
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
747 47 zero_gravi
    -- cpu status --
748
    ctrl_o(ctrl_sleep_c) <= execute_engine.sleep; -- cpu is in sleep mode
749 6 zero_gravi
  end process ctrl_output;
750 2 zero_gravi
 
751
 
752 44 zero_gravi
  -- Decoding Helper Logic ------------------------------------------------------------------
753
  -- -------------------------------------------------------------------------------------------
754
  decode_helper: process(execute_engine)
755 49 zero_gravi
    variable sys_env_cmd_mask_v : std_ulogic_vector(11 downto 0);
756 44 zero_gravi
  begin
757
    -- defaults --
758
    decode_aux.alu_immediate   <= '0';
759
    decode_aux.rs1_is_r0       <= '0';
760
    decode_aux.is_atomic_lr    <= '0';
761
    decode_aux.is_atomic_sc    <= '0';
762
    decode_aux.is_bitmanip_imm <= '0';
763
    decode_aux.is_bitmanip_reg <= '0';
764 53 zero_gravi
    decode_aux.is_float_op     <= '0';
765 44 zero_gravi
 
766
    -- is immediate ALU operation? --
767
    decode_aux.alu_immediate <= not execute_engine.i_reg(instr_opcode_msb_c-1);
768
 
769
    -- is rs1 == r0? --
770
    decode_aux.rs1_is_r0 <= not or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
771
 
772
    -- is atomic load-reservate/store-conditional? --
773 52 zero_gravi
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = "11") then -- valid atomic sub-opcode
774 44 zero_gravi
      decode_aux.is_atomic_lr <= not execute_engine.i_reg(instr_funct5_lsb_c);
775
      decode_aux.is_atomic_sc <=     execute_engine.i_reg(instr_funct5_lsb_c);
776
    end if;
777
 
778 51 zero_gravi
    -- is BITMANIP instruction? --
779 44 zero_gravi
    -- pretty complex as we have to extract this from the ALU/ALUI instruction space --
780
    -- immediate operation --
781
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001") and
782
         (
783
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00000") or -- CLZ
784
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00001") or -- CTZ
785
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00010") or -- PCNT
786
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00100") or -- SEXT.B
787
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00101")    -- SEXT.H
788
         )
789
       ) or
790 51 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "01001") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- SBCLRI
791
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- SBSETI
792
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "01101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- SBINVI
793
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "01001") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- SBEXTI
794
       --
795 44 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "01100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- RORI
796
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_imm12_lsb_c+6 downto instr_imm12_lsb_c) = "0000111")) or -- GORCI.b 7 (orc.b)
797
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "01101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_imm12_lsb_c+6 downto instr_imm12_lsb_c) = "0011000")) then -- GREVI.-8 (rev8)
798 51 zero_gravi
      decode_aux.is_bitmanip_imm <= '1';
799 44 zero_gravi
    end if;
800
    -- register operation --
801
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c-1 downto instr_funct3_lsb_c) = "01")) or -- ROR / ROL
802
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c) = '1')) or -- MIN[U] / MAX[U]
803
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")) or -- PACK
804
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100000") and
805
        (
806
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "111") or -- ANDN
807
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110") or -- ORN
808
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")    -- XORN
809
         )
810 51 zero_gravi
        ) or
811 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010000") and
812
        (
813
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "010") or -- SH1ADD
814
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100") or -- SH2ADD
815
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110")    -- SH3ADD
816
         )
817
        ) or
818 51 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- SBCLR
819
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- SBSET
820
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- SBINV
821
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) then -- SBSEXT
822
      decode_aux.is_bitmanip_reg <= '1';
823 44 zero_gravi
    end if;
824 52 zero_gravi
 
825 53 zero_gravi
    -- floating-point operations (Zfinx) --
826
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+3) = "0000")) or -- FADD.S / FSUB.S
827 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00010")) or -- FMUL.S
828 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- FCLASS.S
829 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FSGNJ[N/X].S
830
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_msb_c-1) = "00")) or -- FMIN.S / FMAX.S
831
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "10100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FEQ.S / FLT.S / FLE.S
832 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11010") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) or -- FCVT.S.W*
833 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11000") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) then -- FCVT.W*.S
834 53 zero_gravi
      decode_aux.is_float_op <= '1';
835 52 zero_gravi
    end if;
836
 
837 49 zero_gravi
    -- system/environment instructions --
838
    sys_env_cmd_mask_v := funct12_ecall_c or funct12_ebreak_c or funct12_mret_c or funct12_wfi_c; -- sum-up set bits
839
    decode_aux.sys_env_cmd(11 downto 0) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) and sys_env_cmd_mask_v; -- set unsued bits to always-zero
840 44 zero_gravi
  end process decode_helper;
841
 
842
 
843 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
844
  -- -------------------------------------------------------------------------------------------
845 44 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, decode_aux, fetch_engine, cmd_issue, trap_ctrl, csr, ctrl, csr_acc_valid,
846 39 zero_gravi
                                   alu_wait_i, bus_d_wait_i, ma_load_i, be_load_i, ma_store_i, be_store_i)
847 44 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
848 2 zero_gravi
  begin
849
    -- arbiter defaults --
850 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
851
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
852
    execute_engine.is_cp_op_nxt <= execute_engine.is_cp_op;
853
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
854
    execute_engine.sleep_nxt    <= execute_engine.sleep;
855 49 zero_gravi
    execute_engine.branched_nxt <= execute_engine.branched;
856 39 zero_gravi
    --
857 49 zero_gravi
    execute_engine.pc_mux_sel   <= '0';
858 39 zero_gravi
    execute_engine.pc_we        <= '0';
859 2 zero_gravi
 
860 6 zero_gravi
    -- instruction dispatch --
861 37 zero_gravi
    fetch_engine.reset          <= '0';
862 2 zero_gravi
 
863 6 zero_gravi
    -- trap environment control --
864 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
865
    trap_ctrl.env_end           <= '0';
866 6 zero_gravi
 
867 2 zero_gravi
    -- exception trigger --
868 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
869
    trap_ctrl.instr_ma          <= '0';
870
    trap_ctrl.env_call          <= '0';
871
    trap_ctrl.break_point       <= '0';
872
    illegal_compressed          <= '0';
873 2 zero_gravi
 
874 6 zero_gravi
    -- CSR access --
875 37 zero_gravi
    csr.we_nxt                  <= '0';
876
    csr.re_nxt                  <= '0';
877 6 zero_gravi
 
878 39 zero_gravi
    -- CONTROL DEFAULTS --
879 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
880 47 zero_gravi
    -- ALU main control --
881
    ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
882
    ctrl_nxt(ctrl_alu_func1_c  downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- default ALU function select: arithmetic
883
    ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c; -- default ALU arithmetic operation: ADDSUB
884
    -- ALU sign control --
885 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
886 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
887 2 zero_gravi
    else -- branches
888 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
889 2 zero_gravi
    end if;
890 53 zero_gravi
    -- bus interface --
891
    ctrl_nxt(ctrl_bus_excl_c) <= ctrl(ctrl_bus_excl_c); -- keep exclusive bus access request alive if set
892 2 zero_gravi
 
893
 
894 6 zero_gravi
    -- state machine --
895
    case execute_engine.state is
896 2 zero_gravi
 
897 44 zero_gravi
      when SYS_WAIT => -- System delay cycle (used to wait for side effects to kick in) [and to init r0 with zero if it is a physical register]
898 2 zero_gravi
      -- ------------------------------------------------------------
899 26 zero_gravi
        -- set reg_file's r0 to zero --
900 25 zero_gravi
        if (rf_r0_is_reg_c = true) then -- is r0 implemented as physical register, which has to be set to zero?
901 49 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c; -- hacky! CSR read-access CP selected without a valid CSR-read -> results zero
902
          ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_csr_rd_c; -- use CSR-READ CP
903
          ctrl_nxt(ctrl_rf_r0_we_c)                          <= '1'; -- force RF write access and force rd=r0
904 25 zero_gravi
        end if;
905
        --
906 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
907 2 zero_gravi
 
908 39 zero_gravi
 
909 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
910 25 zero_gravi
      -- ------------------------------------------------------------
911 52 zero_gravi
        -- housekeeping --
912
        execute_engine.is_cp_op_nxt <= '0'; -- init
913 53 zero_gravi
        ctrl_nxt(ctrl_bus_excl_c)   <= '0'; -- clear exclusive data bus access
914 49 zero_gravi
        -- PC update --
915
        execute_engine.pc_mux_sel <= '0'; -- linear next PC
916 40 zero_gravi
        -- IR update --
917 49 zero_gravi
        execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction
918
        execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0);
919 40 zero_gravi
        --
920 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
921 49 zero_gravi
          -- PC update --
922
          execute_engine.branched_nxt <= '0';
923
          execute_engine.pc_we        <= not execute_engine.branched; -- update PC with linear next_pc if there was no actual branch
924 40 zero_gravi
          -- IR update - exceptions --
925
          trap_ctrl.instr_ma <= cmd_issue.data(33); -- misaligned instruction fetch address
926
          trap_ctrl.instr_be <= cmd_issue.data(34); -- bus access fault during instruction fetch
927
          illegal_compressed <= cmd_issue.data(35); -- invalid decompressed instruction
928
          -- any reason to go to trap state? --
929 37 zero_gravi
          if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or (trap_ctrl.exc_fire = '1') or ((cmd_issue.data(33) or cmd_issue.data(34)) = '1') then
930 49 zero_gravi
            execute_engine.state_nxt <= TRAP_ENTER;
931 13 zero_gravi
          else
932 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
933 13 zero_gravi
          end if;
934
        end if;
935 2 zero_gravi
 
936 39 zero_gravi
 
937 49 zero_gravi
      when TRAP_ENTER => -- Start trap environment - get MTVEC, stay here for sleep mode
938 2 zero_gravi
      -- ------------------------------------------------------------
939 34 zero_gravi
        if (trap_ctrl.env_start = '1') then -- trap triggered?
940
          trap_ctrl.env_start_ack   <= '1';
941 49 zero_gravi
          execute_engine.state_nxt  <= TRAP_EXECUTE;
942 2 zero_gravi
        end if;
943
 
944 49 zero_gravi
      when TRAP_EXIT => -- Return from trap environment - get MEPC
945
      -- ------------------------------------------------------------
946
        trap_ctrl.env_end        <= '1';
947
        execute_engine.state_nxt <= TRAP_EXECUTE;
948 39 zero_gravi
 
949 49 zero_gravi
      when TRAP_EXECUTE => -- Start trap environment - jump to MTVEC / return from trap environment - jump to MEPC
950
      -- ------------------------------------------------------------
951
        execute_engine.pc_mux_sel <= '0'; -- next PC (csr.mtvec)
952
        fetch_engine.reset        <= '1';
953
        execute_engine.pc_we      <= '1';
954
        execute_engine.sleep_nxt  <= '0'; -- disable sleep mode
955
        execute_engine.state_nxt  <= SYS_WAIT;
956
 
957
 
958 40 zero_gravi
      when EXECUTE => -- Decode and execute instruction (control has to be here for excatly 1 cyle in any case!)
959 2 zero_gravi
      -- ------------------------------------------------------------
960 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
961
        case opcode_v is
962 2 zero_gravi
 
963 25 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (immediate) ALU operation
964 2 zero_gravi
          -- ------------------------------------------------------------
965 49 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
966
            ctrl_nxt(ctrl_alu_opb_mux_c) <= decode_aux.alu_immediate; -- use IMM as ALU.OPB for immediate operations
967
            ctrl_nxt(ctrl_rf_in_mux_c)   <= '0'; -- RF input = ALU result
968 25 zero_gravi
 
969 39 zero_gravi
            -- ALU arithmetic operation type and ADD/SUB --
970
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
971
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then
972
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_slt_c;
973 29 zero_gravi
            else
974 39 zero_gravi
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c;
975 25 zero_gravi
            end if;
976
 
977 29 zero_gravi
            -- ADD/SUB --
978 44 zero_gravi
            if ((decode_aux.alu_immediate = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) or -- not an immediate op and funct7.6 set => SUB
979 29 zero_gravi
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or -- SLT operation
980
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then -- SLTU operation
981
              ctrl_nxt(ctrl_alu_addsub_c) <= '1'; -- SUB/SLT
982
            else
983
              ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
984
            end if;
985
 
986 39 zero_gravi
            -- ALU logic operation --
987
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
988
              when funct3_xor_c => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_xor_c; -- XOR(I)
989
              when funct3_or_c  => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_or_c;  -- OR(I)
990 40 zero_gravi
              when others       => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_and_c; -- AND(I)
991 39 zero_gravi
            end case;
992
 
993 44 zero_gravi
            -- co-processor MULDIV operation? --
994
            if (CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV CP op?
995
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- use MULDIV CP
996 39 zero_gravi
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
997
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
998 44 zero_gravi
            -- co-processor bit manipulation operation? --
999
            elsif (CPU_EXTENSION_RISCV_B = true) and
1000
              (((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_bitmanip_reg = '1')) or -- register operation
1001
               ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) then -- immediate operation
1002
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_bitmanip_c; -- use BITMANIP CP
1003
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
1004
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1005
            -- ALU operation, function select --
1006 39 zero_gravi
            else
1007
              execute_engine.is_cp_op_nxt <= '0'; -- no CP operation
1008
              case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.func operation (re-coding)
1009
                when funct3_xor_c | funct3_or_c | funct3_and_c => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c;
1010
                when funct3_sll_c | funct3_sr_c                => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
1011
                when others                                    => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c;
1012
              end case;
1013
            end if;
1014
 
1015 11 zero_gravi
            -- multi cycle alu operation? --
1016 25 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
1017
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
1018 44 zero_gravi
               ((CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) or -- MULDIV CP op?
1019
               ((CPU_EXTENSION_RISCV_B = true) and (
1020
                 ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_bitmanip_reg = '1')) or -- BITMANIP CP register operation?
1021
                 ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) ) then -- BITMANIP CP immediate operation?
1022 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
1023 26 zero_gravi
            else -- single cycle ALU operation
1024 2 zero_gravi
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1025 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
1026 2 zero_gravi
            end if;
1027
 
1028 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
1029 2 zero_gravi
          -- ------------------------------------------------------------
1030 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
1031
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1032 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c)   <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
1033
            ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
1034 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
1035 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
1036 27 zero_gravi
            else -- AUIPC
1037 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
1038 2 zero_gravi
            end if;
1039 49 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_c) <= '0'; -- RF input = ALU result
1040
            ctrl_nxt(ctrl_rf_wb_en_c)  <= '1'; -- valid RF write-back
1041
            execute_engine.state_nxt   <= DISPATCH;
1042 2 zero_gravi
 
1043 53 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
1044 2 zero_gravi
          -- ------------------------------------------------------------
1045 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
1046
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1047 39 zero_gravi
            ctrl_nxt(ctrl_bus_mo_we_c)   <= '1'; -- write to MAR and MDO (MDO only relevant for store)
1048
            --
1049 52 zero_gravi
            if (CPU_EXTENSION_RISCV_A = false) or -- atomic extension disabled
1050 53 zero_gravi
               (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = "00") then  -- normal integerload/store
1051 39 zero_gravi
              execute_engine.state_nxt <= LOADSTORE_0;
1052
            else -- atomic operation
1053
              if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c) or -- store-conditional
1054
                 (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) then -- load-reservate
1055
                execute_engine.state_nxt <= LOADSTORE_0;
1056
              else -- unimplemented (atomic) instruction
1057
                execute_engine.state_nxt <= SYS_WAIT;
1058
              end if;
1059
            end if;
1060 2 zero_gravi
 
1061 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
1062 2 zero_gravi
          -- ------------------------------------------------------------
1063 49 zero_gravi
            -- target address (ALU.ADD) operands --
1064 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
1065
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
1066 49 zero_gravi
            else -- JAL
1067 29 zero_gravi
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
1068 2 zero_gravi
            end if;
1069 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
1070 49 zero_gravi
            execute_engine.state_nxt     <= BRANCH;
1071 2 zero_gravi
 
1072 8 zero_gravi
          when opcode_fence_c => -- fence operations
1073
          -- ------------------------------------------------------------
1074 39 zero_gravi
            execute_engine.state_nxt <= FENCE_OP;
1075 8 zero_gravi
 
1076 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
1077
          -- ------------------------------------------------------------
1078 45 zero_gravi
            if (CPU_EXTENSION_RISCV_Zicsr = true) then
1079
              csr.re_nxt <= csr_acc_valid; -- always read CSR if valid access, only relevant for CSR-instructions
1080 49 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c; -- only relevant for CSR-instructions
1081
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_csr_rd_c; -- use CSR-READ CP, only relevant for CSR-instructions
1082 45 zero_gravi
              if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
1083
                execute_engine.state_nxt <= SYS_ENV;
1084
              else -- CSR access
1085
                execute_engine.state_nxt <= CSR_ACCESS;
1086
              end if;
1087
            else
1088
              execute_engine.state_nxt <= SYS_WAIT;
1089 2 zero_gravi
            end if;
1090
 
1091 53 zero_gravi
          when opcode_fop_c => -- floating-point operations
1092 52 zero_gravi
          -- ------------------------------------------------------------
1093 53 zero_gravi
            if (CPU_EXTENSION_RISCV_Zfinx = true) then
1094 52 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_fpu_c; -- use FPU CP
1095
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
1096
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1097
              execute_engine.state_nxt                           <= ALU_WAIT;
1098 53 zero_gravi
            else
1099
              execute_engine.state_nxt <= SYS_WAIT;
1100 52 zero_gravi
            end if;
1101
 
1102 2 zero_gravi
          when others => -- undefined
1103
          -- ------------------------------------------------------------
1104 39 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
1105 2 zero_gravi
 
1106
        end case;
1107
 
1108 39 zero_gravi
 
1109
      when SYS_ENV => -- system environment operation - execution
1110 2 zero_gravi
      -- ------------------------------------------------------------
1111 49 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1112
        case decode_aux.sys_env_cmd is -- use a simplified input here (with permanent zeros)
1113
          when funct12_ecall_c  => trap_ctrl.env_call       <= '1'; -- ECALL
1114
          when funct12_ebreak_c => trap_ctrl.break_point    <= '1'; -- EBREAK
1115
          when funct12_mret_c   => execute_engine.state_nxt <= TRAP_EXIT; -- MRET
1116
          when funct12_wfi_c    => execute_engine.sleep_nxt <= '1'; -- WFI
1117
          when others           => NULL;-- undefined
1118 39 zero_gravi
        end case;
1119
 
1120
 
1121
      when CSR_ACCESS => -- read & write status and control register (CSR)
1122
      -- ------------------------------------------------------------
1123 27 zero_gravi
        -- CSR write access --
1124 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
1125 25 zero_gravi
          when funct3_csrrw_c | funct3_csrrwi_c => -- CSRRW(I)
1126 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
1127 27 zero_gravi
          when funct3_csrrs_c | funct3_csrrsi_c | funct3_csrrc_c | funct3_csrrci_c => -- CSRRS(I) / CSRRC(I)
1128 44 zero_gravi
            csr.we_nxt <= (not decode_aux.rs1_is_r0) and csr_acc_valid; -- write CSR if rs1/imm is not zero and if valid access
1129 29 zero_gravi
          when others => -- invalid
1130 27 zero_gravi
            csr.we_nxt <= '0';
1131 2 zero_gravi
        end case;
1132 27 zero_gravi
        -- register file write back --
1133 49 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1134
        ctrl_nxt(ctrl_rf_in_mux_c)                         <= '0'; -- RF input = ALU result
1135
        ctrl_nxt(ctrl_rf_wb_en_c)                          <= '1'; -- valid RF write-back
1136
        execute_engine.state_nxt                           <= DISPATCH;
1137 2 zero_gravi
 
1138 39 zero_gravi
 
1139 19 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (shifter or CP) to finish
1140 2 zero_gravi
      -- ------------------------------------------------------------
1141 49 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c) <= '0'; -- RF input = ALU result
1142 53 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c)  <= '1'; -- valid RF write-back (permanent write-back)
1143 44 zero_gravi
        -- cp access or alu.shift? --
1144 29 zero_gravi
        if (execute_engine.is_cp_op = '1') then
1145 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1146 29 zero_gravi
        else
1147 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
1148 19 zero_gravi
        end if;
1149
        -- wait for result --
1150 6 zero_gravi
        if (alu_wait_i = '0') then
1151 29 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1152 2 zero_gravi
        end if;
1153
 
1154 39 zero_gravi
 
1155 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
1156
      -- ------------------------------------------------------------
1157 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
1158
        ctrl_nxt(ctrl_alu_opb_mux_c)                         <= '1'; -- use IMM as ALU.OPB (next_pc from immediate generator = return address)
1159
        ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
1160
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c)   <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
1161 49 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c)                           <= '0'; -- RF input = ALU result
1162 40 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c)                            <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?)
1163 39 zero_gravi
        -- destination address --
1164 49 zero_gravi
        execute_engine.pc_mux_sel <= '1'; -- alu.add = branch/jump destination
1165 40 zero_gravi
        if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch
1166 49 zero_gravi
          execute_engine.pc_we        <= '1'; -- update PC
1167
          execute_engine.branched_nxt <= '1'; -- this is an actual branch
1168
          fetch_engine.reset          <= '1'; -- trigger new instruction fetch from modified PC
1169
          execute_engine.state_nxt    <= SYS_WAIT;
1170 11 zero_gravi
        else
1171
          execute_engine.state_nxt <= DISPATCH;
1172 6 zero_gravi
        end if;
1173
 
1174 39 zero_gravi
 
1175
      when FENCE_OP => -- fence operations - execution
1176
      -- ------------------------------------------------------------
1177 47 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1178 39 zero_gravi
        -- FENCE.I --
1179 47 zero_gravi
        if (CPU_EXTENSION_RISCV_Zifencei = true) then
1180 49 zero_gravi
          execute_engine.pc_mux_sel <= '0'; -- linear next PC = start *new* instruction fetch with next instruction (only relevant for fence.i)
1181 47 zero_gravi
          if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fencei_c(0)) then
1182 49 zero_gravi
            execute_engine.pc_we        <= '1'; -- update PC
1183
            execute_engine.branched_nxt <= '1'; -- this is an actual branch
1184
            fetch_engine.reset          <= '1'; -- trigger new instruction fetch from modified PC
1185 47 zero_gravi
            ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1186
          end if;
1187 39 zero_gravi
        end if;
1188
        -- FENCE --
1189
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fence_c(0)) then
1190
          ctrl_nxt(ctrl_bus_fence_c) <= '1';
1191
        end if;
1192
 
1193
 
1194 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1195 6 zero_gravi
      -- ------------------------------------------------------------
1196 53 zero_gravi
        ctrl_nxt(ctrl_bus_excl_c) <= decode_aux.is_atomic_lr; -- atomic.LR: exclusive memory access request
1197 44 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_atomic_lr = '1') then -- normal load or atomic load-reservate
1198 12 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
1199 39 zero_gravi
        else -- store
1200 12 zero_gravi
          ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
1201
        end if;
1202
        execute_engine.state_nxt <= LOADSTORE_1;
1203 6 zero_gravi
 
1204 39 zero_gravi
 
1205 12 zero_gravi
      when LOADSTORE_1 => -- memory latency
1206 6 zero_gravi
      -- ------------------------------------------------------------
1207 39 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOAD)
1208 53 zero_gravi
        if (CPU_EXTENSION_RISCV_A = true) and (decode_aux.is_atomic_sc = '1') then -- execute and evaluate atomic store-conditional
1209
          execute_engine.state_nxt <= ATOMIC_SC_EVAL;
1210
        else -- normal load/store
1211
          execute_engine.state_nxt <= LOADSTORE_2;
1212
        end if;
1213 6 zero_gravi
 
1214 39 zero_gravi
 
1215 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1216 6 zero_gravi
      -- ------------------------------------------------------------
1217 39 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load operations)
1218 53 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c) <= '1'; -- RF input = memory input (only relevant for LOADs)
1219 39 zero_gravi
        -- wait for memory response --
1220 37 zero_gravi
        if ((ma_load_i or be_load_i or ma_store_i or be_store_i) = '1') then -- abort if exception
1221 53 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1222 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1223 53 zero_gravi
          -- data write-back
1224
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_atomic_lr = '1') then -- normal load OR atomic load
1225
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1';
1226 6 zero_gravi
          end if;
1227
          execute_engine.state_nxt <= DISPATCH;
1228
        end if;
1229
 
1230 39 zero_gravi
 
1231 53 zero_gravi
      when ATOMIC_SC_EVAL => -- wait for bus transaction to finish and evaluate if SC was successful
1232
      -- ------------------------------------------------------------
1233
        if (CPU_EXTENSION_RISCV_A = true) then
1234
          -- atomic.SC: result comes from "atomic co-processor" --
1235
          ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_atomic_c;
1236
          execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
1237
          ctrl_nxt(ctrl_rf_in_mux_c)                         <= '0'; -- RF input = ALU.res
1238
          ctrl_nxt(ctrl_rf_wb_en_c)                          <= '1'; -- allow reg file write back
1239
          -- wait for memory response --
1240
          if ((ma_load_i or be_load_i or ma_store_i or be_store_i) = '1') then -- abort if exception
1241
            ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c; -- trigger atomic-coprocessor operation for SC status evaluation
1242
            execute_engine.state_nxt <= ALU_WAIT;
1243
          elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1244
            ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c; -- trigger atomic-coprocessor operation for SC status evaluation
1245
            execute_engine.state_nxt <= ALU_WAIT;
1246
          end if;
1247
        else
1248
          execute_engine.state_nxt <= SYS_WAIT;
1249
        end if;
1250
 
1251
 
1252 2 zero_gravi
      when others => -- undefined
1253
      -- ------------------------------------------------------------
1254 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1255 2 zero_gravi
 
1256
    end case;
1257 6 zero_gravi
  end process execute_engine_fsm_comb;
1258 2 zero_gravi
 
1259
 
1260 15 zero_gravi
-- ****************************************************************************************************************************
1261
-- Invalid Instruction / CSR access check
1262
-- ****************************************************************************************************************************
1263
 
1264 49 zero_gravi
  -- CSR Access Check -----------------------------------------------------------------------
1265 15 zero_gravi
  -- -------------------------------------------------------------------------------------------
1266 49 zero_gravi
  csr_access_check: process(execute_engine.i_reg, csr)
1267 42 zero_gravi
    variable csr_wacc_v           : std_ulogic; -- to check access to read-only CSRs
1268 44 zero_gravi
--  variable csr_racc_v           : std_ulogic; -- to check access to write-only CSRs
1269 42 zero_gravi
    variable csr_mcounteren_hpm_v : std_ulogic_vector(28 downto 0); -- max 29 HPM counters
1270 15 zero_gravi
  begin
1271 30 zero_gravi
    -- is this CSR instruction really going to write/read to/from a CSR? --
1272
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1273
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1274
      csr_wacc_v := '1'; -- always write CSR
1275
--    csr_racc_v := or_all_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read allowed if rd != 0
1276
    else
1277
      csr_wacc_v := or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); -- write allowed if rs1/uimm5 != 0
1278
--    csr_racc_v := '1'; -- always read CSR
1279
    end if;
1280
 
1281 42 zero_gravi
    -- low privilege level access to hpm counters? --
1282
    csr_mcounteren_hpm_v := (others => '0');
1283 52 zero_gravi
    if (CPU_EXTENSION_RISCV_U = true) then
1284 51 zero_gravi
      csr_mcounteren_hpm_v(HPM_NUM_CNTS-1 downto 0) := csr.mcounteren_hpm(HPM_NUM_CNTS-1 downto 0);
1285 52 zero_gravi
    else -- 'mcounteren' CSR is hardwired to zero if user mode is not implemented
1286
      csr_mcounteren_hpm_v := (others => '0');
1287 51 zero_gravi
    end if;
1288 42 zero_gravi
 
1289 15 zero_gravi
    -- check CSR access --
1290 41 zero_gravi
    case csr.addr is
1291
      -- standard read/write CSRs --
1292 53 zero_gravi
      when csr_fflags_c | csr_frm_c | csr_fcsr_c => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- full access for everyone if Zfinx extension is enabled
1293 52 zero_gravi
      --
1294 42 zero_gravi
      when csr_mstatus_c       => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1295
      when csr_mstatush_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1296
      when csr_misa_c          => csr_acc_valid <= csr.priv_m_mode;-- and (not csr_wacc_v); -- M-mode only, MISA is read-only in the NEORV32 but we do not cause an exception here for compatibility
1297
      when csr_mie_c           => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1298
      when csr_mtvec_c         => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1299
      when csr_mscratch_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1300
      when csr_mepc_c          => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1301
      when csr_mcause_c        => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1302
      when csr_mcounteren_c    => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1303
      when csr_mtval_c         => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1304
      when csr_mip_c           => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1305 15 zero_gravi
      --
1306 42 zero_gravi
      when csr_pmpcfg0_c | csr_pmpcfg1_c | csr_pmpcfg2_c  | csr_pmpcfg3_c  | csr_pmpcfg4_c  | csr_pmpcfg5_c  | csr_pmpcfg6_c  | csr_pmpcfg7_c |
1307
           csr_pmpcfg8_c | csr_pmpcfg9_c | csr_pmpcfg10_c | csr_pmpcfg11_c | csr_pmpcfg12_c | csr_pmpcfg13_c | csr_pmpcfg14_c | csr_pmpcfg15_c =>
1308
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1309 15 zero_gravi
      --
1310 42 zero_gravi
      when csr_pmpaddr0_c  | csr_pmpaddr1_c  | csr_pmpaddr2_c  | csr_pmpaddr3_c  | csr_pmpaddr4_c  | csr_pmpaddr5_c  | csr_pmpaddr6_c  | csr_pmpaddr7_c  |
1311
           csr_pmpaddr8_c  | csr_pmpaddr9_c  | csr_pmpaddr10_c | csr_pmpaddr11_c | csr_pmpaddr12_c | csr_pmpaddr13_c | csr_pmpaddr14_c | csr_pmpaddr15_c |
1312
           csr_pmpaddr16_c | csr_pmpaddr17_c | csr_pmpaddr18_c | csr_pmpaddr19_c | csr_pmpaddr20_c | csr_pmpaddr21_c | csr_pmpaddr22_c | csr_pmpaddr23_c |
1313
           csr_pmpaddr24_c | csr_pmpaddr25_c | csr_pmpaddr26_c | csr_pmpaddr27_c | csr_pmpaddr28_c | csr_pmpaddr29_c | csr_pmpaddr30_c | csr_pmpaddr31_c |
1314
           csr_pmpaddr32_c | csr_pmpaddr33_c | csr_pmpaddr34_c | csr_pmpaddr35_c | csr_pmpaddr36_c | csr_pmpaddr37_c | csr_pmpaddr38_c | csr_pmpaddr39_c |
1315
           csr_pmpaddr40_c | csr_pmpaddr41_c | csr_pmpaddr42_c | csr_pmpaddr43_c | csr_pmpaddr44_c | csr_pmpaddr45_c | csr_pmpaddr46_c | csr_pmpaddr47_c |
1316
           csr_pmpaddr48_c | csr_pmpaddr49_c | csr_pmpaddr50_c | csr_pmpaddr51_c | csr_pmpaddr52_c | csr_pmpaddr53_c | csr_pmpaddr54_c | csr_pmpaddr55_c |
1317
           csr_pmpaddr56_c | csr_pmpaddr57_c | csr_pmpaddr58_c | csr_pmpaddr59_c | csr_pmpaddr60_c | csr_pmpaddr61_c | csr_pmpaddr62_c | csr_pmpaddr63_c =>
1318
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1319 15 zero_gravi
      --
1320 41 zero_gravi
      when csr_mcountinhibit_c => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1321 15 zero_gravi
      --
1322 42 zero_gravi
      when csr_mhpmevent3_c  | csr_mhpmevent4_c  | csr_mhpmevent5_c  | csr_mhpmevent6_c  | csr_mhpmevent7_c  | csr_mhpmevent8_c  |
1323
           csr_mhpmevent9_c  | csr_mhpmevent10_c | csr_mhpmevent11_c | csr_mhpmevent12_c | csr_mhpmevent13_c | csr_mhpmevent14_c |
1324
           csr_mhpmevent15_c | csr_mhpmevent16_c | csr_mhpmevent17_c | csr_mhpmevent18_c | csr_mhpmevent19_c | csr_mhpmevent20_c |
1325
           csr_mhpmevent21_c | csr_mhpmevent22_c | csr_mhpmevent23_c | csr_mhpmevent24_c | csr_mhpmevent25_c | csr_mhpmevent26_c |
1326
           csr_mhpmevent27_c | csr_mhpmevent28_c | csr_mhpmevent29_c | csr_mhpmevent30_c | csr_mhpmevent31_c =>
1327
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1328 15 zero_gravi
      --
1329 42 zero_gravi
      when csr_mcycle_c        => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1330
      when csr_minstret_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1331
      --
1332
      when csr_mhpmcounter3_c  | csr_mhpmcounter4_c  | csr_mhpmcounter5_c  | csr_mhpmcounter6_c  | csr_mhpmcounter7_c  | csr_mhpmcounter8_c  |
1333
           csr_mhpmcounter9_c  | csr_mhpmcounter10_c | csr_mhpmcounter11_c | csr_mhpmcounter12_c | csr_mhpmcounter13_c | csr_mhpmcounter14_c |
1334
           csr_mhpmcounter15_c | csr_mhpmcounter16_c | csr_mhpmcounter17_c | csr_mhpmcounter18_c | csr_mhpmcounter19_c | csr_mhpmcounter20_c |
1335
           csr_mhpmcounter21_c | csr_mhpmcounter22_c | csr_mhpmcounter23_c | csr_mhpmcounter24_c | csr_mhpmcounter25_c | csr_mhpmcounter26_c |
1336
           csr_mhpmcounter27_c | csr_mhpmcounter28_c | csr_mhpmcounter29_c | csr_mhpmcounter30_c | csr_mhpmcounter31_c =>
1337
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1338
      --
1339
      when csr_mcycleh_c       => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1340
      when csr_minstreth_c     => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1341
      --
1342
      when csr_mhpmcounter3h_c  | csr_mhpmcounter4h_c  | csr_mhpmcounter5h_c  | csr_mhpmcounter6h_c  | csr_mhpmcounter7h_c  | csr_mhpmcounter8h_c  |
1343
           csr_mhpmcounter9h_c  | csr_mhpmcounter10h_c | csr_mhpmcounter11h_c | csr_mhpmcounter12h_c | csr_mhpmcounter13h_c | csr_mhpmcounter14h_c |
1344
           csr_mhpmcounter15h_c | csr_mhpmcounter16h_c | csr_mhpmcounter17h_c | csr_mhpmcounter18h_c | csr_mhpmcounter19h_c | csr_mhpmcounter20h_c |
1345
           csr_mhpmcounter21h_c | csr_mhpmcounter22h_c | csr_mhpmcounter23h_c | csr_mhpmcounter24h_c | csr_mhpmcounter25h_c | csr_mhpmcounter26h_c |
1346
           csr_mhpmcounter27h_c | csr_mhpmcounter28h_c | csr_mhpmcounter29h_c | csr_mhpmcounter30h_c | csr_mhpmcounter31h_c =>
1347
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1348
 
1349 41 zero_gravi
      -- standard read-only CSRs --
1350 42 zero_gravi
      when csr_cycle_c         => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- M-mode, U-mode if authorized, read-only
1351
      when csr_time_c          => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- M-mode, U-mode if authorized, read-only
1352
      when csr_instret_c       => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- M-mode, U-mode if authorized, read-only
1353 15 zero_gravi
      --
1354 44 zero_gravi
      when csr_hpmcounter3_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(00)); -- M-mode, U-mode if authorized, read-only
1355
      when csr_hpmcounter4_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(01)); -- M-mode, U-mode if authorized, read-only
1356
      when csr_hpmcounter5_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(02)); -- M-mode, U-mode if authorized, read-only
1357
      when csr_hpmcounter6_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(03)); -- M-mode, U-mode if authorized, read-only
1358
      when csr_hpmcounter7_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(04)); -- M-mode, U-mode if authorized, read-only
1359
      when csr_hpmcounter8_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(05)); -- M-mode, U-mode if authorized, read-only
1360
      when csr_hpmcounter9_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(06)); -- M-mode, U-mode if authorized, read-only
1361
      when csr_hpmcounter10_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(07)); -- M-mode, U-mode if authorized, read-only
1362
      when csr_hpmcounter11_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(08)); -- M-mode, U-mode if authorized, read-only
1363
      when csr_hpmcounter12_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(09)); -- M-mode, U-mode if authorized, read-only
1364 42 zero_gravi
      when csr_hpmcounter13_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(10)); -- M-mode, U-mode if authorized, read-only
1365
      when csr_hpmcounter14_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(11)); -- M-mode, U-mode if authorized, read-only
1366
      when csr_hpmcounter15_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(12)); -- M-mode, U-mode if authorized, read-only
1367
      when csr_hpmcounter16_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(13)); -- M-mode, U-mode if authorized, read-only
1368
      when csr_hpmcounter17_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(14)); -- M-mode, U-mode if authorized, read-only
1369
      when csr_hpmcounter18_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(15)); -- M-mode, U-mode if authorized, read-only
1370
      when csr_hpmcounter19_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(16)); -- M-mode, U-mode if authorized, read-only
1371
      when csr_hpmcounter20_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(17)); -- M-mode, U-mode if authorized, read-only
1372
      when csr_hpmcounter21_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(18)); -- M-mode, U-mode if authorized, read-only
1373
      when csr_hpmcounter22_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(19)); -- M-mode, U-mode if authorized, read-only
1374
      when csr_hpmcounter23_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(20)); -- M-mode, U-mode if authorized, read-only
1375
      when csr_hpmcounter24_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(21)); -- M-mode, U-mode if authorized, read-only
1376
      when csr_hpmcounter25_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(22)); -- M-mode, U-mode if authorized, read-only
1377
      when csr_hpmcounter26_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(23)); -- M-mode, U-mode if authorized, read-only
1378
      when csr_hpmcounter27_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(24)); -- M-mode, U-mode if authorized, read-only
1379
      when csr_hpmcounter28_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(25)); -- M-mode, U-mode if authorized, read-only
1380
      when csr_hpmcounter29_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(26)); -- M-mode, U-mode if authorized, read-only
1381
      when csr_hpmcounter30_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(27)); -- M-mode, U-mode if authorized, read-only
1382
      when csr_hpmcounter31_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(28)); -- M-mode, U-mode if authorized, read-only
1383 22 zero_gravi
      --
1384 42 zero_gravi
      when csr_cycleh_c        => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- M-mode, U-mode if authorized, read-only
1385
      when csr_timeh_c         => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- M-mode, U-mode if authorized, read-only
1386
      when csr_instreth_c      => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- M-mode, U-mode if authorized, read-only
1387
      --
1388 44 zero_gravi
      when csr_hpmcounter3h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(00)); -- M-mode, U-mode if authorized, read-only
1389
      when csr_hpmcounter4h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(01)); -- M-mode, U-mode if authorized, read-only
1390
      when csr_hpmcounter5h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(02)); -- M-mode, U-mode if authorized, read-only
1391
      when csr_hpmcounter6h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(03)); -- M-mode, U-mode if authorized, read-only
1392
      when csr_hpmcounter7h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(04)); -- M-mode, U-mode if authorized, read-only
1393
      when csr_hpmcounter8h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(05)); -- M-mode, U-mode if authorized, read-only
1394
      when csr_hpmcounter9h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(06)); -- M-mode, U-mode if authorized, read-only
1395
      when csr_hpmcounter10h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(07)); -- M-mode, U-mode if authorized, read-only
1396
      when csr_hpmcounter11h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(08)); -- M-mode, U-mode if authorized, read-only
1397
      when csr_hpmcounter12h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(09)); -- M-mode, U-mode if authorized, read-only
1398 42 zero_gravi
      when csr_hpmcounter13h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(10)); -- M-mode, U-mode if authorized, read-only
1399
      when csr_hpmcounter14h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(11)); -- M-mode, U-mode if authorized, read-only
1400
      when csr_hpmcounter15h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(12)); -- M-mode, U-mode if authorized, read-only
1401
      when csr_hpmcounter16h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(13)); -- M-mode, U-mode if authorized, read-only
1402
      when csr_hpmcounter17h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(14)); -- M-mode, U-mode if authorized, read-only
1403
      when csr_hpmcounter18h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(15)); -- M-mode, U-mode if authorized, read-only
1404
      when csr_hpmcounter19h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(16)); -- M-mode, U-mode if authorized, read-only
1405
      when csr_hpmcounter20h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(17)); -- M-mode, U-mode if authorized, read-only
1406
      when csr_hpmcounter21h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(18)); -- M-mode, U-mode if authorized, read-only
1407
      when csr_hpmcounter22h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(19)); -- M-mode, U-mode if authorized, read-only
1408
      when csr_hpmcounter23h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(20)); -- M-mode, U-mode if authorized, read-only
1409
      when csr_hpmcounter24h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(21)); -- M-mode, U-mode if authorized, read-only
1410
      when csr_hpmcounter25h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(22)); -- M-mode, U-mode if authorized, read-only
1411
      when csr_hpmcounter26h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(23)); -- M-mode, U-mode if authorized, read-only
1412
      when csr_hpmcounter27h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(24)); -- M-mode, U-mode if authorized, read-only
1413
      when csr_hpmcounter28h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(25)); -- M-mode, U-mode if authorized, read-only
1414
      when csr_hpmcounter29h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(26)); -- M-mode, U-mode if authorized, read-only
1415
      when csr_hpmcounter30h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(27)); -- M-mode, U-mode if authorized, read-only
1416
      when csr_hpmcounter31h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(28)); -- M-mode, U-mode if authorized, read-only
1417
      --
1418
      when csr_mvendorid_c     => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1419
      when csr_marchid_c       => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1420
      when csr_mimpid_c        => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1421
      when csr_mhartid_c       => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1422 41 zero_gravi
      -- custom read-only CSRs --
1423 42 zero_gravi
      when csr_mzext_c         => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1424 29 zero_gravi
      --
1425 42 zero_gravi
      when others              => csr_acc_valid <= '0'; -- invalid access
1426 15 zero_gravi
    end case;
1427 49 zero_gravi
  end process csr_access_check;
1428 15 zero_gravi
 
1429
 
1430 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1431
  -- -------------------------------------------------------------------------------------------
1432 44 zero_gravi
  illegal_instruction_check: process(execute_engine, decode_aux, csr_acc_valid)
1433 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1434 2 zero_gravi
  begin
1435 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
1436 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1437 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1438 2 zero_gravi
      -- defaults --
1439
      illegal_instruction <= '0';
1440
      illegal_register    <= '0';
1441
 
1442 36 zero_gravi
      -- check opcode for rv32 --
1443
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1444
        illegal_opcode_lsbs <= '0';
1445
      else
1446
        illegal_opcode_lsbs <= '1';
1447
      end if;
1448
 
1449 2 zero_gravi
      -- check instructions --
1450 36 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
1451
      case opcode_v is
1452 2 zero_gravi
 
1453 44 zero_gravi
        -- check sufficient LUI, UIPC, JAL (only check actual OPCODE) --
1454 52 zero_gravi
        -- ------------------------------------------------------------
1455 2 zero_gravi
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c =>
1456
          illegal_instruction <= '0';
1457 23 zero_gravi
          -- illegal E-CPU register? --
1458
          if (CPU_EXTENSION_RISCV_E = true) and (execute_engine.i_reg(instr_rd_msb_c) = '1') then
1459
            illegal_register <= '1';
1460
          end if;
1461 2 zero_gravi
 
1462 44 zero_gravi
        when opcode_alu_c => -- check ALU.funct3 & ALU.funct7
1463 52 zero_gravi
        -- ------------------------------------------------------------
1464 44 zero_gravi
          if (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV
1465
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1466
              illegal_instruction <= '1';
1467
            end if;
1468
          elsif (decode_aux.is_bitmanip_reg = '1') then -- bit manipulation
1469
            if (CPU_EXTENSION_RISCV_B = false) then -- not implemented
1470
              illegal_instruction <= '1';
1471
            end if;
1472
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1473
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1474
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1475
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1476
            illegal_instruction <= '1';
1477
          else
1478
            illegal_instruction <= '0';
1479
          end if;
1480
          -- illegal E-CPU register? --
1481
          if (CPU_EXTENSION_RISCV_E = true) and
1482
             ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1483
            illegal_register <= '1';
1484
          end if;
1485
 
1486
        when opcode_alui_c => -- check ALUI.funct7
1487 52 zero_gravi
        -- ------------------------------------------------------------
1488 44 zero_gravi
          if (decode_aux.is_bitmanip_imm = '1') then -- bit manipulation
1489
            if (CPU_EXTENSION_RISCV_B = false) then -- not implemented
1490
              illegal_instruction <= '1';
1491
            end if;
1492
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
1493 6 zero_gravi
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
1494
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
1495
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1496
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
1497 2 zero_gravi
            illegal_instruction <= '1';
1498
          else
1499
            illegal_instruction <= '0';
1500
          end if;
1501 23 zero_gravi
          -- illegal E-CPU register? --
1502
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1503
            illegal_register <= '1';
1504
          end if;
1505 39 zero_gravi
 
1506 44 zero_gravi
        when opcode_load_c => -- check LOAD.funct3
1507 52 zero_gravi
        -- ------------------------------------------------------------
1508 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1509
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1510
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1511
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1512
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1513 2 zero_gravi
            illegal_instruction <= '0';
1514
          else
1515
            illegal_instruction <= '1';
1516
          end if;
1517 23 zero_gravi
          -- illegal E-CPU register? --
1518
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1519
            illegal_register <= '1';
1520
          end if;
1521 39 zero_gravi
 
1522 44 zero_gravi
        when opcode_store_c => -- check STORE.funct3
1523 52 zero_gravi
        -- ------------------------------------------------------------
1524 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1525
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1526
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1527 2 zero_gravi
            illegal_instruction <= '0';
1528
          else
1529
            illegal_instruction <= '1';
1530
          end if;
1531 23 zero_gravi
          -- illegal E-CPU register? --
1532
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1533
            illegal_register <= '1';
1534
          end if;
1535 2 zero_gravi
 
1536 44 zero_gravi
        when opcode_branch_c => -- check BRANCH.funct3
1537 52 zero_gravi
        -- ------------------------------------------------------------
1538 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1539
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1540
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1541
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1542
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1543
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1544 2 zero_gravi
            illegal_instruction <= '0';
1545
          else
1546
            illegal_instruction <= '1';
1547
          end if;
1548 23 zero_gravi
          -- illegal E-CPU register? --
1549
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1550
            illegal_register <= '1';
1551
          end if;
1552 2 zero_gravi
 
1553 44 zero_gravi
        when opcode_jalr_c => -- check JALR.funct3
1554 52 zero_gravi
        -- ------------------------------------------------------------
1555 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1556 2 zero_gravi
            illegal_instruction <= '0';
1557
          else
1558
            illegal_instruction <= '1';
1559
          end if;
1560 23 zero_gravi
          -- illegal E-CPU register? --
1561
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1562
            illegal_register <= '1';
1563
          end if;
1564 2 zero_gravi
 
1565 52 zero_gravi
        when opcode_fence_c => -- fence instructions
1566
        -- ------------------------------------------------------------
1567 8 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1568
            illegal_instruction <= '0';
1569
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1570
            illegal_instruction <= '0';
1571
          else
1572
            illegal_instruction <= '1';
1573
          end if;
1574
 
1575 52 zero_gravi
        when opcode_syscsr_c => -- check system instructions
1576
        -- ------------------------------------------------------------
1577 2 zero_gravi
          -- CSR access --
1578 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1579
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1580
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1581
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1582
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1583
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1584 15 zero_gravi
            -- valid CSR access? --
1585
            if (csr_acc_valid = '1') then
1586 2 zero_gravi
              illegal_instruction <= '0';
1587
            else
1588
              illegal_instruction <= '1';
1589
            end if;
1590 23 zero_gravi
            -- illegal E-CPU register? --
1591
            if (CPU_EXTENSION_RISCV_E = true) then
1592
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1593
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1594
              else -- reg-imm CSR
1595
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1596
              end if;
1597
            end if;
1598 2 zero_gravi
 
1599
          -- ecall, ebreak, mret, wfi --
1600 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1601
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1602 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1603 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1604 13 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_mret_c)   or -- MRET
1605
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c) then  -- WFI
1606 2 zero_gravi
              illegal_instruction <= '0';
1607
            else
1608
              illegal_instruction <= '1';
1609
            end if;
1610
          else
1611
            illegal_instruction <= '1';
1612
          end if;
1613
 
1614 52 zero_gravi
        when opcode_atomic_c => -- atomic instructions
1615
        -- ------------------------------------------------------------
1616 39 zero_gravi
          if (CPU_EXTENSION_RISCV_A = true) and -- atomic memory operations (A extension) enabled
1617
             ((execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) or -- LR
1618
              (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c)) then -- SC
1619
            illegal_instruction <= '0';
1620
          else
1621
            illegal_instruction <= '1';
1622
          end if;
1623
 
1624 53 zero_gravi
        when opcode_fop_c => -- floating point operations - single/dual operands
1625 52 zero_gravi
        -- ------------------------------------------------------------
1626 53 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) and -- F extension enabled
1627
             (execute_engine.i_reg(instr_funct7_lsb_c+1 downto instr_funct7_lsb_c) = float_single_c) and -- single-precision operations only
1628
             (decode_aux.is_float_op = '1') then -- is correct/supported floating-point instruction
1629 52 zero_gravi
            illegal_instruction <= '0';
1630
          else
1631
            illegal_instruction <= '1';
1632
          end if;
1633
 
1634 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1635 52 zero_gravi
        -- ------------------------------------------------------------
1636 36 zero_gravi
          illegal_instruction <= '1';
1637 2 zero_gravi
 
1638
      end case;
1639
    else
1640 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1641 2 zero_gravi
      illegal_instruction <= '0';
1642
      illegal_register    <= '0';
1643
    end if;
1644
  end process illegal_instruction_check;
1645
 
1646
  -- any illegal condition? --
1647 36 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or illegal_register or illegal_compressed;
1648 2 zero_gravi
 
1649
 
1650 6 zero_gravi
-- ****************************************************************************************************************************
1651 38 zero_gravi
-- Exception and Interrupt (= Trap) Control
1652 6 zero_gravi
-- ****************************************************************************************************************************
1653 2 zero_gravi
 
1654 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1655 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1656 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1657 40 zero_gravi
    variable mode_m_v, mode_u_v : std_ulogic;
1658 2 zero_gravi
  begin
1659
    if (rstn_i = '0') then
1660 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1661
      trap_ctrl.irq_buf   <= (others => '0');
1662
      trap_ctrl.exc_ack   <= '0';
1663
      trap_ctrl.irq_ack   <= (others => '0');
1664 47 zero_gravi
      trap_ctrl.env_start <= '0';
1665 40 zero_gravi
      trap_ctrl.cause     <= trap_reset_c;
1666 47 zero_gravi
      trap_ctrl.firq_sync <= (others => '0');
1667 2 zero_gravi
    elsif rising_edge(clk_i) then
1668
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1669
        -- exception buffer: misaligned load/store/instruction address
1670 40 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c)    <= (trap_ctrl.exc_buf(exception_lalign_c)    or ma_load_i)          and (not trap_ctrl.exc_ack);
1671
        trap_ctrl.exc_buf(exception_salign_c)    <= (trap_ctrl.exc_buf(exception_salign_c)    or ma_store_i)         and (not trap_ctrl.exc_ack);
1672
        trap_ctrl.exc_buf(exception_ialign_c)    <= (trap_ctrl.exc_buf(exception_ialign_c)    or trap_ctrl.instr_ma) and (not trap_ctrl.exc_ack);
1673 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1674 40 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c)   <= (trap_ctrl.exc_buf(exception_laccess_c)   or be_load_i)          and (not trap_ctrl.exc_ack);
1675
        trap_ctrl.exc_buf(exception_saccess_c)   <= (trap_ctrl.exc_buf(exception_saccess_c)   or be_store_i)         and (not trap_ctrl.exc_ack);
1676
        trap_ctrl.exc_buf(exception_iaccess_c)   <= (trap_ctrl.exc_buf(exception_iaccess_c)   or trap_ctrl.instr_be) and (not trap_ctrl.exc_ack);
1677 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1678 40 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_ack);
1679
        trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_ack);
1680
        trap_ctrl.exc_buf(exception_break_c)     <= (trap_ctrl.exc_buf(exception_break_c)     or trap_ctrl.break_point)                    and (not trap_ctrl.exc_ack);
1681
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)                       and (not trap_ctrl.exc_ack);
1682 18 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt
1683 40 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not (trap_ctrl.irq_ack(interrupt_msw_irq_c)   or csr.mip_clear(interrupt_msw_irq_c)));
1684
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not (trap_ctrl.irq_ack(interrupt_mext_irq_c)  or csr.mip_clear(interrupt_mext_irq_c)));
1685
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not (trap_ctrl.irq_ack(interrupt_mtime_irq_c) or csr.mip_clear(interrupt_mtime_irq_c)));
1686 48 zero_gravi
        -- interrupt buffer: NEORV32-specific fast interrupts
1687 47 zero_gravi
        trap_ctrl.firq_sync <= firq_i;
1688 48 zero_gravi
        for i in 0 to 15 loop
1689
          trap_ctrl.irq_buf(interrupt_firq_0_c+i) <= csr.mie_firqe(i) and (trap_ctrl.irq_buf(interrupt_firq_0_c+i) or trap_ctrl.firq_sync(i)) and (not (trap_ctrl.irq_ack(interrupt_firq_0_c+i) or csr.mip_clear(interrupt_firq_0_c+i)));
1690
        end loop;
1691 6 zero_gravi
        -- trap control --
1692
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1693 49 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- trap triggered!
1694
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP_ENTER))) then -- fire IRQs in EXECUTE or TRAP state only to continue execution even on permanent IRQ
1695 13 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1696 7 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear execption
1697 42 zero_gravi
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- clear interrupt with interrupt ACK mask
1698 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1699 2 zero_gravi
          end if;
1700 6 zero_gravi
        else -- trap waiting to get started
1701
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1702
            trap_ctrl.exc_ack   <= '0';
1703
            trap_ctrl.irq_ack   <= (others => '0');
1704
            trap_ctrl.env_start <= '0';
1705 2 zero_gravi
          end if;
1706
        end if;
1707
      end if;
1708
    end if;
1709 6 zero_gravi
  end process trap_controller;
1710 2 zero_gravi
 
1711
  -- any exception/interrupt? --
1712 27 zero_gravi
  trap_ctrl.exc_fire <= or_all_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1713
  trap_ctrl.irq_fire <= or_all_f(trap_ctrl.irq_buf) and csr.mstatus_mie; -- interrupts CAN be masked
1714 2 zero_gravi
 
1715 40 zero_gravi
  -- current pending interrupts (for CSR.MIP register) --
1716
  csr.mip_status <= trap_ctrl.irq_buf;
1717 2 zero_gravi
 
1718 47 zero_gravi
  -- acknowledge mask output --
1719 48 zero_gravi
  firq_ack_o <= trap_ctrl.irq_ack(interrupt_firq_15_c downto interrupt_firq_0_c);
1720 40 zero_gravi
 
1721 47 zero_gravi
 
1722 42 zero_gravi
  -- Trap Priority Encoder ------------------------------------------------------------------
1723 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1724
  trap_priority: process(trap_ctrl)
1725 2 zero_gravi
  begin
1726
    -- defaults --
1727 6 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1728
    trap_ctrl.irq_ack_nxt <= (others => '0');
1729 2 zero_gravi
 
1730 38 zero_gravi
    -- the following traps are caused by *asynchronous* exceptions (= interrupts)
1731 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1732 9 zero_gravi
 
1733 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1734 6 zero_gravi
    if (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1735 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1736 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1737 2 zero_gravi
 
1738 40 zero_gravi
    -- interrupt: 1.3 machine SW interrupt --
1739
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1740
      trap_ctrl.cause_nxt <= trap_msi_c;
1741
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1742
 
1743 2 zero_gravi
    -- interrupt: 1.7 machine timer interrupt --
1744 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1745 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1746 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1747 2 zero_gravi
 
1748
 
1749 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1750
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1751
      trap_ctrl.cause_nxt <= trap_firq0_c;
1752
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1753
 
1754
    -- interrupt: 1.17 fast interrupt channel 1 --
1755
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1756
      trap_ctrl.cause_nxt <= trap_firq1_c;
1757
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1758
 
1759
    -- interrupt: 1.18 fast interrupt channel 2 --
1760
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1761
      trap_ctrl.cause_nxt <= trap_firq2_c;
1762
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1763
 
1764
    -- interrupt: 1.19 fast interrupt channel 3 --
1765
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1766
      trap_ctrl.cause_nxt <= trap_firq3_c;
1767
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1768
 
1769 47 zero_gravi
    -- interrupt: 1.20 fast interrupt channel 4 --
1770
    elsif (trap_ctrl.irq_buf(interrupt_firq_4_c) = '1') then
1771
      trap_ctrl.cause_nxt <= trap_firq4_c;
1772
      trap_ctrl.irq_ack_nxt(interrupt_firq_4_c) <= '1';
1773 14 zero_gravi
 
1774 47 zero_gravi
    -- interrupt: 1.21 fast interrupt channel 5 --
1775
    elsif (trap_ctrl.irq_buf(interrupt_firq_5_c) = '1') then
1776
      trap_ctrl.cause_nxt <= trap_firq5_c;
1777
      trap_ctrl.irq_ack_nxt(interrupt_firq_5_c) <= '1';
1778
 
1779
    -- interrupt: 1.22 fast interrupt channel 6 --
1780
    elsif (trap_ctrl.irq_buf(interrupt_firq_6_c) = '1') then
1781
      trap_ctrl.cause_nxt <= trap_firq6_c;
1782
      trap_ctrl.irq_ack_nxt(interrupt_firq_6_c) <= '1';
1783
 
1784
    -- interrupt: 1.23 fast interrupt channel 7 --
1785
    elsif (trap_ctrl.irq_buf(interrupt_firq_7_c) = '1') then
1786
      trap_ctrl.cause_nxt <= trap_firq7_c;
1787
      trap_ctrl.irq_ack_nxt(interrupt_firq_7_c) <= '1';
1788
 
1789 48 zero_gravi
    -- interrupt: 1.24 fast interrupt channel 8 --
1790
    elsif (trap_ctrl.irq_buf(interrupt_firq_8_c) = '1') then
1791
      trap_ctrl.cause_nxt <= trap_firq8_c;
1792
      trap_ctrl.irq_ack_nxt(interrupt_firq_8_c) <= '1';
1793 47 zero_gravi
 
1794 48 zero_gravi
    -- interrupt: 1.25 fast interrupt channel 9 --
1795
    elsif (trap_ctrl.irq_buf(interrupt_firq_9_c) = '1') then
1796
      trap_ctrl.cause_nxt <= trap_firq9_c;
1797
      trap_ctrl.irq_ack_nxt(interrupt_firq_9_c) <= '1';
1798
 
1799
    -- interrupt: 1.26 fast interrupt channel 10 --
1800
    elsif (trap_ctrl.irq_buf(interrupt_firq_10_c) = '1') then
1801
      trap_ctrl.cause_nxt <= trap_firq10_c;
1802
      trap_ctrl.irq_ack_nxt(interrupt_firq_10_c) <= '1';
1803
 
1804
    -- interrupt: 1.27 fast interrupt channel 11 --
1805
    elsif (trap_ctrl.irq_buf(interrupt_firq_11_c) = '1') then
1806
      trap_ctrl.cause_nxt <= trap_firq11_c;
1807
      trap_ctrl.irq_ack_nxt(interrupt_firq_11_c) <= '1';
1808
 
1809
    -- interrupt: 1.28 fast interrupt channel 12 --
1810
    elsif (trap_ctrl.irq_buf(interrupt_firq_12_c) = '1') then
1811
      trap_ctrl.cause_nxt <= trap_firq12_c;
1812
      trap_ctrl.irq_ack_nxt(interrupt_firq_12_c) <= '1';
1813
 
1814
    -- interrupt: 1.29 fast interrupt channel 13 --
1815
    elsif (trap_ctrl.irq_buf(interrupt_firq_13_c) = '1') then
1816
      trap_ctrl.cause_nxt <= trap_firq13_c;
1817
      trap_ctrl.irq_ack_nxt(interrupt_firq_13_c) <= '1';
1818
 
1819
    -- interrupt: 1.30 fast interrupt channel 14 --
1820
    elsif (trap_ctrl.irq_buf(interrupt_firq_14_c) = '1') then
1821
      trap_ctrl.cause_nxt <= trap_firq14_c;
1822
      trap_ctrl.irq_ack_nxt(interrupt_firq_14_c) <= '1';
1823
 
1824
    -- interrupt: 1.31 fast interrupt channel 15 --
1825
    elsif (trap_ctrl.irq_buf(interrupt_firq_15_c) = '1') then
1826
      trap_ctrl.cause_nxt <= trap_firq15_c;
1827
      trap_ctrl.irq_ack_nxt(interrupt_firq_15_c) <= '1';
1828
 
1829
 
1830 42 zero_gravi
    -- the following traps are caused by *synchronous* exceptions (= 'classic' exceptions)
1831 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1832 38 zero_gravi
    -- with highest priority) is evaluated at once
1833 4 zero_gravi
 
1834 38 zero_gravi
    -- exception: 0.1 instruction access fault --
1835 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1836 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1837 2 zero_gravi
 
1838 38 zero_gravi
    -- exception: 0.2 illegal instruction --
1839 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1840 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1841 2 zero_gravi
 
1842 38 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1843 12 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1844
      trap_ctrl.cause_nxt <= trap_ima_c;
1845 2 zero_gravi
 
1846 12 zero_gravi
 
1847 38 zero_gravi
    -- exception: 0.11 environment call from M-mode --
1848 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1849 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1850 2 zero_gravi
 
1851 40 zero_gravi
    -- exception: 0.8 environment call from U-mode --
1852
    elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then
1853
      trap_ctrl.cause_nxt <= trap_uenv_c;
1854
 
1855 38 zero_gravi
    -- exception: 0.3 breakpoint --
1856 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1857 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1858 2 zero_gravi
 
1859
 
1860 38 zero_gravi
    -- exception: 0.6 store address misaligned -
1861 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1862 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1863 2 zero_gravi
 
1864 38 zero_gravi
    -- exception: 0.4 load address misaligned --
1865 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1866 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1867 2 zero_gravi
 
1868 38 zero_gravi
    -- exception: 0.7 store access fault --
1869 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1870 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1871 2 zero_gravi
 
1872 38 zero_gravi
    -- exception: 0.5 load access fault --
1873 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1874 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1875 2 zero_gravi
 
1876 42 zero_gravi
    -- not implemented --
1877 2 zero_gravi
    else
1878 6 zero_gravi
      trap_ctrl.cause_nxt   <= (others => '0');
1879
      trap_ctrl.irq_ack_nxt <= (others => '0');
1880 2 zero_gravi
    end if;
1881 6 zero_gravi
  end process trap_priority;
1882
 
1883 2 zero_gravi
 
1884 6 zero_gravi
-- ****************************************************************************************************************************
1885
-- Control and Status Registers (CSRs)
1886
-- ****************************************************************************************************************************
1887 2 zero_gravi
 
1888 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1889
  -- -------------------------------------------------------------------------------------------
1890 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1891
    variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0);
1892 27 zero_gravi
  begin
1893 36 zero_gravi
    -- CSR operand source --
1894
    if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate
1895
      csr_operand_v := (others => '0');
1896 38 zero_gravi
      csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1897 36 zero_gravi
    else -- register
1898
      csr_operand_v := rs1_i;
1899
    end if;
1900 40 zero_gravi
    -- tiny ALU for CSR write operations --
1901 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1902 36 zero_gravi
      when "10"   => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I)
1903
      when "11"   => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I)
1904
      when others => csr.wdata <= csr_operand_v; -- CSRRW(I)
1905 27 zero_gravi
    end case;
1906
  end process csr_write_data;
1907
 
1908
 
1909 52 zero_gravi
  -- Control and Status Registers - Write Access --------------------------------------------
1910 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1911
  csr_write_access: process(rstn_i, clk_i)
1912
  begin
1913
    if (rstn_i = '0') then
1914 40 zero_gravi
      csr.we           <= '0';
1915 11 zero_gravi
      --
1916 6 zero_gravi
      csr.mstatus_mie  <= '0';
1917
      csr.mstatus_mpie <= '0';
1918 29 zero_gravi
      csr.mstatus_mpp  <= priv_mode_m_c; -- start in MACHINE mode
1919
      csr.privilege    <= priv_mode_m_c; -- start in MACHINE mode
1920 6 zero_gravi
      csr.mie_msie     <= '0';
1921
      csr.mie_meie     <= '0';
1922
      csr.mie_mtie     <= '0';
1923 14 zero_gravi
      csr.mie_firqe    <= (others => '0');
1924 6 zero_gravi
      csr.mtvec        <= (others => '0');
1925 36 zero_gravi
      csr.mscratch     <= x"19880704"; -- :)
1926 12 zero_gravi
      csr.mepc         <= (others => '0');
1927 49 zero_gravi
      csr.mcause       <= trap_reset_c; -- mcause = TRAP_CODE_RESET (hardware reset, "non-maskable interrupt")
1928
      csr.mtval        <= (others => '0');
1929
      csr.mip_clear    <= (others => '0');
1930 42 zero_gravi
      --
1931 52 zero_gravi
      csr.pmpcfg  <= (others => (others => '0'));
1932
      csr.pmpaddr <= (others => (others => '1'));
1933 34 zero_gravi
      --
1934 52 zero_gravi
      csr.mhpmevent <= (others => (others => '0'));
1935 41 zero_gravi
      --
1936 52 zero_gravi
      csr.mcounteren_cy  <= '0';
1937
      csr.mcounteren_tm  <= '0';
1938
      csr.mcounteren_ir  <= '0';
1939
      csr.mcounteren_hpm <= (others => '0');
1940 42 zero_gravi
      --
1941
      csr.mcountinhibit_cy  <= '0';
1942
      csr.mcountinhibit_ir  <= '0';
1943
      csr.mcountinhibit_hpm <= (others => '0');
1944 52 zero_gravi
      --
1945
      csr.fflags <= (others => '0');
1946
      csr.frm    <= (others => '0');
1947 49 zero_gravi
 
1948 2 zero_gravi
    elsif rising_edge(clk_i) then
1949 29 zero_gravi
      -- write access? --
1950
      csr.we <= csr.we_nxt;
1951 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1952 4 zero_gravi
 
1953 40 zero_gravi
        -- defaults --
1954
        csr.mip_clear <= (others => '0');
1955
 
1956 36 zero_gravi
        -- --------------------------------------------------------------------------------
1957
        -- CSR access by application software
1958
        -- --------------------------------------------------------------------------------
1959
        if (csr.we = '1') then -- manual update
1960 52 zero_gravi
 
1961
          -- user floating-point CSRs --
1962
          -- --------------------------------------------------------------------
1963
          if (csr.addr(11 downto 4) = csr_class_float_c) then -- floating point CSR class
1964
            -- R/W: fflags - floating-point (FPU) exception flags --
1965 53 zero_gravi
            if (csr.addr(3 downto 0) = csr_fflags_c(3 downto 0)) and (CPU_EXTENSION_RISCV_Zfinx = true) then
1966 52 zero_gravi
              csr.fflags <= csr.wdata(4 downto 0);
1967
            end if;
1968
            -- R/W: frm - floating-point (FPU) rounding mode --
1969 53 zero_gravi
            if (csr.addr(3 downto 0) = csr_frm_c(3 downto 0)) and (CPU_EXTENSION_RISCV_Zfinx = true) then
1970 52 zero_gravi
              csr.frm <= csr.wdata(2 downto 0);
1971
            end if;
1972
            -- R/W: fflags - floating-point (FPU) control/status (frm + fflags) --
1973 53 zero_gravi
            if (csr.addr(3 downto 0) = csr_fcsr_c(3 downto 0)) and (CPU_EXTENSION_RISCV_Zfinx = true) then
1974 52 zero_gravi
              csr.frm    <= csr.wdata(7 downto 5);
1975
              csr.fflags <= csr.wdata(4 downto 0);
1976
            end if;
1977
          end if;
1978
 
1979
          -- machine trap setup --
1980
          -- --------------------------------------------------------------------
1981
          if (csr.addr(11 downto 4) = csr_setup_c) then -- ftrap setup CSR class
1982
            -- R/W: mstatus - machine status register --
1983
            if (csr.addr(3 downto 0) = csr_mstatus_c(3 downto 0)) then
1984 36 zero_gravi
              csr.mstatus_mie  <= csr.wdata(03);
1985
              csr.mstatus_mpie <= csr.wdata(07);
1986
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1987
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1988
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1989 40 zero_gravi
              else -- only machine mode is available
1990
                csr.mstatus_mpp <= priv_mode_m_c;
1991 36 zero_gravi
              end if;
1992 52 zero_gravi
            end if;
1993
            -- R/W: mie - machine interrupt enable register --
1994
            if (csr.addr(3 downto 0) = csr_mie_c(3 downto 0)) then
1995 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1996
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1997
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1998 48 zero_gravi
              for i in 0 to 15 loop -- fast interrupt channels 0..15
1999
                csr.mie_firqe(i) <= csr.wdata(16+i);
2000
              end loop; -- i
2001 52 zero_gravi
            end if;
2002
            -- R/W: mtvec - machine trap-handler base address (for ALL exceptions) --
2003
            if (csr.addr(3 downto 0) = csr_mtvec_c(3 downto 0)) then
2004 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
2005 52 zero_gravi
            end if;
2006
            -- R/W: machine counter enable register --
2007
            if (csr.addr(3 downto 0) = csr_mcounteren_c(3 downto 0)) then
2008 51 zero_gravi
              if (CPU_EXTENSION_RISCV_U = true) then -- this CSR is hardwired to zero if user mode is not implemented
2009
                csr.mcounteren_cy  <= csr.wdata(0); -- enable user-level access to cycle[h]
2010
                csr.mcounteren_tm  <= csr.wdata(1); -- enable user-level access to time[h]
2011
                csr.mcounteren_ir  <= csr.wdata(2); -- enable user-level access to instret[h]
2012
                csr.mcounteren_hpm <= csr.wdata(csr.mcounteren_hpm'left+3 downto 3); -- enable user-level access to hpmcounterx[h]
2013
              end if;
2014 52 zero_gravi
            end if;
2015
          end if;
2016 29 zero_gravi
 
2017 52 zero_gravi
          -- machine trap handling --
2018
          -- --------------------------------------------------------------------
2019
          if (csr.addr(11 downto 4) = csr_class_trap_c) then -- machine trap handling CSR class
2020
            -- R/W: mscratch - machine scratch register --
2021
            if (csr.addr(3 downto 0) = csr_mscratch_c(3 downto 0)) then
2022 36 zero_gravi
              csr.mscratch <= csr.wdata;
2023 52 zero_gravi
            end if;
2024
            -- R/W: mepc - machine exception program counter --
2025
            if (csr.addr(3 downto 0) = csr_mepc_c(3 downto 0)) then
2026 36 zero_gravi
              csr.mepc <= csr.wdata(data_width_c-1 downto 1) & '0';
2027 52 zero_gravi
            end if;
2028
            -- R/W: mcause - machine trap cause --
2029
            if (csr.addr(3 downto 0) = csr_mcause_c(3 downto 0)) then
2030 36 zero_gravi
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: interrupt, 0: exception
2031
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
2032 52 zero_gravi
            end if;
2033
            -- R/W: mtval - machine bad address/instruction --
2034
            if (csr.addr(3 downto 0) = csr_mtval_c(3 downto 0)) then
2035 36 zero_gravi
              csr.mtval <= csr.wdata;
2036 52 zero_gravi
            end if;
2037
            -- R/W: mip - machine interrupt pending --
2038
            if (csr.addr(3 downto 0) = csr_mip_c(3 downto 0)) then
2039 40 zero_gravi
              csr.mip_clear(interrupt_msw_irq_c)   <= not csr.wdata(03);
2040
              csr.mip_clear(interrupt_mtime_irq_c) <= not csr.wdata(07);
2041
              csr.mip_clear(interrupt_mext_irq_c)  <= not csr.wdata(11);
2042 48 zero_gravi
              for i in 0 to 15 loop -- fast interrupt channels 0..15
2043
                csr.mip_clear(interrupt_firq_0_c+i) <= not csr.wdata(16+i);
2044
              end loop; -- i
2045 52 zero_gravi
            end if;
2046
          end if;
2047 29 zero_gravi
 
2048 52 zero_gravi
          -- physical memory protection: R/W: pmpcfg* - PMP configuration registers --
2049
          -- --------------------------------------------------------------------
2050
          if (csr.addr(11 downto 4) = csr_class_pmpcfg_c) then -- pmp configuration CSR class
2051
            if (PMP_NUM_REGIONS > 0) then
2052
              for i in 0 to PMP_NUM_REGIONS-1 loop
2053
                if (csr.addr(3 downto 0) = std_ulogic_vector(to_unsigned(i, 4))) then
2054
                  if (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpcfg access
2055
                    csr.pmpcfg(i)(0) <= csr.wdata((i mod 4)*8+0); -- R (rights.read)
2056
                    csr.pmpcfg(i)(1) <= csr.wdata((i mod 4)*8+1); -- W (rights.write)
2057
                    csr.pmpcfg(i)(2) <= csr.wdata((i mod 4)*8+2); -- X (rights.execute)
2058
                    csr.pmpcfg(i)(3) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_L
2059
                    csr.pmpcfg(i)(4) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_H - NAPOT/OFF only
2060
                    csr.pmpcfg(i)(5) <= '0'; -- reserved
2061
                    csr.pmpcfg(i)(6) <= '0'; -- reserved
2062
                    csr.pmpcfg(i)(7) <= csr.wdata((i mod 4)*8+7); -- L (locked / rights also enforced in m-mode)
2063 36 zero_gravi
                  end if;
2064 52 zero_gravi
                end if;
2065
              end loop; -- i (PMP regions)
2066
            end if;
2067
          end if;
2068 4 zero_gravi
 
2069 52 zero_gravi
          -- physical memory protection: R/W: pmpaddr* - PMP address registers --
2070
          -- --------------------------------------------------------------------
2071
          if (csr.addr(11 downto 4) =  csr_pmpaddr0_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr16_c(11 downto 4)) or
2072
             (csr.addr(11 downto 4) = csr_pmpaddr32_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr48_c(11 downto 4)) then
2073
            if (PMP_NUM_REGIONS > 0) then
2074
              for i in 0 to PMP_NUM_REGIONS-1 loop
2075
                if (csr.addr(6 downto 0) = std_ulogic_vector(unsigned(csr_pmpaddr0_c(6 downto 0)) + i)) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
2076
                  csr.pmpaddr(i) <= csr.wdata;
2077
                  csr.pmpaddr(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2078
                end if;
2079
              end loop; -- i (PMP regions)
2080
            end if;
2081
          end if;
2082 2 zero_gravi
 
2083 52 zero_gravi
          -- machine counter setup --
2084
          -- --------------------------------------------------------------------
2085
          -- R/W: mcountinhibit - machine counter-inhibit register --
2086
          if (csr.addr = csr_mcountinhibit_c) then
2087
            csr.mcountinhibit_cy  <= csr.wdata(0); -- enable auto-increment of [m]cycle[h] counter
2088
            csr.mcountinhibit_ir  <= csr.wdata(2); -- enable auto-increment of [m]instret[h] counter
2089
            csr.mcountinhibit_hpm <= csr.wdata(csr.mcountinhibit_hpm'left+3 downto 3); -- enable auto-increment of [m]hpmcounter*[h] counter
2090
          end if;
2091 41 zero_gravi
 
2092 52 zero_gravi
          -- machine performance-monitoring event selector --
2093
          -- --------------------------------------------------------------------
2094
          if (unsigned(csr.addr) >= unsigned(csr_mhpmevent3_c)) and (unsigned(csr.addr) <= unsigned(csr_mhpmevent31_c)) then
2095
            if (HPM_NUM_CNTS > 0) then
2096
              for i in 0 to HPM_NUM_CNTS-1 loop
2097
                if (csr.addr(4 downto 0) = std_ulogic_vector(to_unsigned(i+3, 5))) then
2098
                  csr.mhpmevent(i) <= csr.wdata(csr.mhpmevent(i)'left downto 0);
2099
                  csr.mhpmevent(i)(1) <= '0'; -- would be used for "TIME"
2100
                end if;
2101
              end loop; -- i (CSRs)
2102
            end if;
2103
          end if;
2104 42 zero_gravi
 
2105 29 zero_gravi
 
2106 36 zero_gravi
        -- --------------------------------------------------------------------------------
2107
        -- CSR access by hardware
2108
        -- --------------------------------------------------------------------------------
2109
        else
2110
 
2111 52 zero_gravi
          -- floating-point (FPU) exception flags --
2112
          -- --------------------------------------------------------------------
2113 53 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) and (execute_engine.state = ALU_WAIT) then
2114 52 zero_gravi
            csr.fflags <= csr.fflags or fpu_flags_i; -- accumulate flags ("accrued exception flags")
2115
          end if;
2116
 
2117 40 zero_gravi
          -- mcause, mepc, mtval: machine trap cause, PC and value register --
2118 36 zero_gravi
          -- --------------------------------------------------------------------
2119
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
2120 40 zero_gravi
            -- trap cause ID code --
2121
            csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
2122
            csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
2123
            -- trap PC --
2124 36 zero_gravi
            if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS
2125 49 zero_gravi
              csr.mepc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2126 40 zero_gravi
            else -- for EXCEPTIONS
2127 36 zero_gravi
              csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2128 40 zero_gravi
            end if;
2129
            -- trap value --
2130
            case trap_ctrl.cause is
2131
              when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error
2132 36 zero_gravi
                csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
2133 40 zero_gravi
              when trap_brk_c => -- breakpoint
2134
                csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction
2135
              when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error
2136
                csr.mtval <= mar_i; -- faulting data access address
2137
              when trap_iil_c => -- illegal instruction
2138 36 zero_gravi
                csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
2139 47 zero_gravi
              when others => -- everything else including all interrupts
2140 40 zero_gravi
                csr.mtval <= (others => '0');
2141
            end case;
2142 2 zero_gravi
          end if;
2143
 
2144 36 zero_gravi
          -- mstatus: context switch --
2145
          -- --------------------------------------------------------------------
2146
          if (trap_ctrl.env_start_ack = '1') then -- ENTER: trap handler starting?
2147
            csr.mstatus_mie  <= '0'; -- disable interrupts
2148
            csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
2149
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2150
              csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
2151
              csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
2152 2 zero_gravi
            end if;
2153 36 zero_gravi
          elsif (trap_ctrl.env_end = '1') then -- EXIT: return from exception
2154
            csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
2155
            csr.mstatus_mpie <= '1';
2156
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2157
              csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
2158 40 zero_gravi
              csr.mstatus_mpp <= priv_mode_m_c;
2159 30 zero_gravi
            end if;
2160 2 zero_gravi
          end if;
2161 36 zero_gravi
          -- user mode NOT implemented --
2162
          if (CPU_EXTENSION_RISCV_U = false) then
2163
            csr.privilege   <= priv_mode_m_c;
2164
            csr.mstatus_mpp <= priv_mode_m_c;
2165 15 zero_gravi
          end if;
2166 29 zero_gravi
 
2167 52 zero_gravi
        end if; -- /hardware csr access
2168
      end if;
2169 29 zero_gravi
 
2170 52 zero_gravi
      -- --------------------------------------------------------------------------------
2171
      -- override write access for disabled functions
2172
      -- --------------------------------------------------------------------------------
2173
 
2174
      -- user mode disabled --
2175
      if (CPU_EXTENSION_RISCV_U = false) then
2176
        csr.privilege      <= priv_mode_m_c;
2177
        csr.mstatus_mpp    <= priv_mode_m_c;
2178
        csr.mcounteren_cy  <= '0';
2179
        csr.mcounteren_tm  <= '0';
2180
        csr.mcounteren_ir  <= '0';
2181
        csr.mcounteren_hpm <= (others => '0');
2182 34 zero_gravi
      end if;
2183 52 zero_gravi
 
2184
      -- pmp disabled --
2185
      if (PMP_NUM_REGIONS = 0) then
2186
        csr.pmpcfg  <= (others => (others => '0'));
2187
        csr.pmpaddr <= (others => (others => '1'));
2188
      end if;
2189
 
2190
      -- hpms disabled --
2191
      if (HPM_NUM_CNTS = 0) then
2192
        csr.mhpmevent         <= (others => (others => '0'));
2193
        csr.mcounteren_hpm    <= (others => '0');
2194
        csr.mcountinhibit_hpm <= (others => '0');
2195
      end if;
2196
 
2197
      -- floating-point extension disabled --
2198 53 zero_gravi
      if (CPU_EXTENSION_RISCV_Zfinx = false) then
2199 52 zero_gravi
        csr.fflags <= (others => '0');
2200
        csr.frm    <= (others => '0');
2201
      end if;
2202
 
2203 2 zero_gravi
    end if;
2204
  end process csr_write_access;
2205
 
2206 40 zero_gravi
  -- decode privilege mode --
2207 51 zero_gravi
  csr.priv_m_mode <= '1' when (csr.privilege = priv_mode_m_c) else '0';
2208
  csr.priv_u_mode <= '1' when (csr.privilege = priv_mode_u_c) else '0';
2209 40 zero_gravi
 
2210 36 zero_gravi
  -- PMP configuration output to bus unit --
2211 34 zero_gravi
  pmp_output: process(csr)
2212
  begin
2213
    pmp_addr_o <= (others => (others => '0'));
2214
    pmp_ctrl_o <= (others => (others => '0'));
2215 42 zero_gravi
    for i in 0 to PMP_NUM_REGIONS-1 loop
2216
      pmp_addr_o(i) <= csr.pmpaddr(i) & "11";
2217
      pmp_addr_o(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2218
      pmp_ctrl_o(i) <= csr.pmpcfg(i);
2219
    end loop; -- i
2220
  end process pmp_output;
2221
 
2222
  -- PMP read dummy --
2223
  pmp_rd_dummy: process(csr)
2224
  begin
2225
    csr.pmpcfg_rd  <= (others => (others => '0'));
2226
    csr.pmpaddr_rd <= (others => (others => '0'));
2227
    for i in 0 to PMP_NUM_REGIONS-1 loop
2228
      csr.pmpcfg_rd(i)  <= csr.pmpcfg(i);
2229
      csr.pmpaddr_rd(i) <= csr.pmpaddr(i);
2230
      if (csr.pmpcfg(i)(4 downto 3) = "00") then -- mode = off
2231
        csr.pmpaddr_rd(i)(index_size_f(PMP_MIN_GRANULARITY)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
2232
      end if;
2233
    end loop; -- i
2234
  end process pmp_rd_dummy;
2235
 
2236 52 zero_gravi
  -- FPU rounding mode --
2237
  fpu_rm_o <= csr.frm;
2238 42 zero_gravi
 
2239 52 zero_gravi
 
2240 42 zero_gravi
  -- Control and Status Registers - Counters ------------------------------------------------
2241
  -- -------------------------------------------------------------------------------------------
2242
  csr_counters: process(clk_i)
2243
  begin
2244
    -- Counter CSRs (each counter is split into two 32-bit counters)
2245
    if rising_edge(clk_i) then
2246
 
2247
      -- [m]cycle --
2248
      if (csr.we = '1') and (csr.addr = csr_mcycle_c) then -- write access
2249
        csr.mcycle <= '0' & csr.wdata;
2250
        mcycle_msb <= '0';
2251
      elsif (csr.mcountinhibit_cy = '0') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2252
        csr.mcycle <= std_ulogic_vector(unsigned(csr.mcycle) + 1);
2253
        mcycle_msb <= csr.mcycle(csr.mcycle'left);
2254
      end if;
2255
 
2256
      -- [m]cycleh --
2257
      if (csr.we = '1') and (csr.addr = csr_mcycleh_c) then -- write access
2258
        csr.mcycleh <= csr.wdata;
2259
      elsif ((mcycle_msb xor csr.mcycle(csr.mcycle'left)) = '1') then -- automatic update (continued)
2260
        csr.mcycleh <= std_ulogic_vector(unsigned(csr.mcycleh) + 1);
2261
      end if;
2262
 
2263
      -- [m]instret --
2264
      if (csr.we = '1') and (csr.addr = csr_minstret_c) then -- write access
2265
        csr.minstret <= '0' & csr.wdata;
2266
        minstret_msb <= '0';
2267
      elsif (csr.mcountinhibit_ir = '0') and (cnt_event(hpmcnt_event_ir_c) = '1') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2268
        csr.minstret <= std_ulogic_vector(unsigned(csr.minstret) + 1);
2269
        minstret_msb <= csr.minstret(csr.minstret'left);
2270
      end if;
2271
 
2272
      -- [m]instreth --
2273
      if (csr.we = '1') and (csr.addr = csr_minstreth_c) then -- write access
2274
        csr.minstreth <= csr.wdata;
2275
      elsif ((minstret_msb xor csr.minstret(csr.minstret'left)) = '1') then -- automatic update (continued)
2276
        csr.minstreth <= std_ulogic_vector(unsigned(csr.minstreth) + 1);
2277
      end if;
2278
 
2279 45 zero_gravi
      -- [machine] hardware performance monitors (counters) --
2280 42 zero_gravi
      for i in 0 to HPM_NUM_CNTS-1 loop
2281
        -- [m]hpmcounter* --
2282
        if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3_c) + i)) then -- write access
2283
          csr.mhpmcounter(i) <= '0' & csr.wdata;
2284
          mhpmcounter_msb(i) <= '0';
2285
        elsif (csr.mcountinhibit_hpm(i) = '0') and (hpmcnt_trigger(i) = '1') then -- non-inhibited automatic update
2286
          csr.mhpmcounter(i) <= std_ulogic_vector(unsigned(csr.mhpmcounter(i)) + 1);
2287
          mhpmcounter_msb(i) <= csr.mhpmcounter(i)(csr.mhpmcounter(i)'left);
2288
        end if;
2289
 
2290
        -- [m]hpmcounter*h --
2291
        if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3h_c) + i)) then -- write access
2292
          csr.mhpmcounterh(i) <= csr.wdata;
2293
        elsif ((mhpmcounter_msb(i) xor csr.mhpmcounter(i)(csr.mhpmcounter(i)'left)) = '1') then -- automatic update (continued)
2294
          csr.mhpmcounterh(i) <= std_ulogic_vector(unsigned(csr.mhpmcounterh(i)) + 1);
2295
        end if;
2296 34 zero_gravi
      end loop; -- i
2297 42 zero_gravi
 
2298 34 zero_gravi
    end if;
2299 42 zero_gravi
  end process csr_counters;
2300 34 zero_gravi
 
2301 42 zero_gravi
  -- hpm read dummy --
2302
  hpm_rd_dummy: process(csr)
2303
  begin
2304
    csr.mhpmevent_rd    <= (others => (others => '0'));
2305
    csr.mhpmcounter_rd  <= (others => (others => '0'));
2306
    csr.mhpmcounterh_rd <= (others => (others => '0'));
2307
    for i in 0 to HPM_NUM_CNTS-1 loop
2308
      csr.mhpmevent_rd(i)    <= csr.mhpmevent(i);
2309
      csr.mhpmcounter_rd(i)  <= csr.mhpmcounter(i);
2310
      csr.mhpmcounterh_rd(i) <= csr.mhpmcounterh(i);
2311
    end loop; -- i
2312
  end process hpm_rd_dummy;
2313 34 zero_gravi
 
2314 42 zero_gravi
 
2315
  -- (HPM) Counter Event Control ------------------------------------------------------------
2316
  -- -------------------------------------------------------------------------------------------
2317
  hpmcnt_ctrl: process(clk_i)
2318
  begin
2319
    if rising_edge(clk_i) then
2320 47 zero_gravi
      -- buffer event sources --
2321
      cnt_event <= cnt_event_nxt;
2322
      -- enable selected triggers by ANDing actual events and according CSR configuration bits --
2323
      -- OR everything to see if counter should increment --
2324 42 zero_gravi
      hpmcnt_trigger <= (others => '0'); -- default
2325
      for i in 0 to HPM_NUM_CNTS-1 loop
2326 47 zero_gravi
        hpmcnt_trigger(i) <= or_all_f(cnt_event and csr.mhpmevent(i)(cnt_event'left downto 0));
2327 42 zero_gravi
      end loop; -- i
2328
    end if;
2329
  end process hpmcnt_ctrl;
2330
 
2331
  -- counter event trigger - RISC-V specific --
2332
  cnt_event_nxt(hpmcnt_event_cy_c)    <= not execute_engine.sleep; -- active cycle
2333
  cnt_event_nxt(hpmcnt_event_never_c) <= '0'; -- undefined (never)
2334
  cnt_event_nxt(hpmcnt_event_ir_c)    <= '1' when (execute_engine.state = EXECUTE) else '0'; -- retired instruction
2335
 
2336
  -- counter event trigger - custom / NEORV32-specific --
2337 47 zero_gravi
  cnt_event_nxt(hpmcnt_event_cir_c)     <= '1' when (execute_engine.state = EXECUTE)      and (execute_engine.is_ci = '1')             else '0'; -- retired compressed instruction
2338
  cnt_event_nxt(hpmcnt_event_wait_if_c) <= '1' when (fetch_engine.state   = IFETCH_ISSUE) and (fetch_engine.state_prev = IFETCH_ISSUE) else '0'; -- instruction fetch memory wait cycle
2339
  cnt_event_nxt(hpmcnt_event_wait_ii_c) <= '1' when (execute_engine.state = DISPATCH)     and (execute_engine.state_prev = DISPATCH)   else '0'; -- instruction issue wait cycle
2340
  cnt_event_nxt(hpmcnt_event_wait_mc_c) <= '1' when (execute_engine.state = ALU_WAIT)     and (execute_engine.state_prev = ALU_WAIT)   else '0'; -- multi-cycle alu-operation wait cycle
2341 42 zero_gravi
 
2342
  cnt_event_nxt(hpmcnt_event_load_c)    <= '1' when (execute_engine.state = LOADSTORE_1) and (ctrl(ctrl_bus_rd_c) = '1')               else '0'; -- load operation
2343
  cnt_event_nxt(hpmcnt_event_store_c)   <= '1' when (execute_engine.state = LOADSTORE_1) and (ctrl(ctrl_bus_wr_c) = '1')               else '0'; -- store operation
2344
  cnt_event_nxt(hpmcnt_event_wait_ls_c) <= '1' when (execute_engine.state = LOADSTORE_2) and (execute_engine.state_prev = LOADSTORE_2) else '0'; -- load/store memory wait cycle
2345
 
2346
  cnt_event_nxt(hpmcnt_event_jump_c)    <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') else '0'; -- jump (unconditional)
2347
  cnt_event_nxt(hpmcnt_event_branch_c)  <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') else '0'; -- branch (conditional, taken or not taken)
2348
  cnt_event_nxt(hpmcnt_event_tbranch_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') and (execute_engine.branch_taken = '1') else '0'; -- taken branch (conditional)
2349
 
2350
  cnt_event_nxt(hpmcnt_event_trap_c)    <= '1' when (trap_ctrl.env_start_ack = '1')                                    else '0'; -- entered trap
2351
  cnt_event_nxt(hpmcnt_event_illegal_c) <= '1' when (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause = trap_iil_c) else '0'; -- illegal operation
2352
 
2353
 
2354 52 zero_gravi
  -- Control and Status Registers - Read Access ---------------------------------------------
2355 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2356
  csr_read_access: process(clk_i)
2357
  begin
2358
    if rising_edge(clk_i) then
2359 29 zero_gravi
      csr.re    <= csr.re_nxt; -- read access?
2360 35 zero_gravi
      csr.rdata <= (others => '0'); -- default output
2361 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
2362 41 zero_gravi
        case csr.addr is
2363 11 zero_gravi
 
2364 52 zero_gravi
          -- user floating-point CSRs --
2365
          -- --------------------------------------------------------------------
2366
          when csr_fflags_c => -- R/W: fflags - floating-point (FPU) exception flags
2367
            csr.rdata <= (others => '0');
2368 53 zero_gravi
            if (CPU_EXTENSION_RISCV_Zfinx = true) then -- FPU implemented
2369 52 zero_gravi
              csr.rdata(4 downto 0) <= csr.fflags;
2370
            end if;
2371
          when csr_frm_c => -- R/W: frm - floating-point (FPU) rounding mode
2372
            csr.rdata <= (others => '0');
2373 53 zero_gravi
            if (CPU_EXTENSION_RISCV_Zfinx = true) then -- FPU implemented
2374 52 zero_gravi
              csr.rdata(2 downto 0) <= csr.frm;
2375
            end if;
2376
          when csr_fcsr_c => -- R/W: fflags - floating-point (FPU) control/status (frm + fflags)
2377
            csr.rdata <= (others => '0');
2378 53 zero_gravi
            if (CPU_EXTENSION_RISCV_Zfinx = true) then -- FPU implemented
2379 52 zero_gravi
              csr.rdata(7 downto 5) <= csr.frm;
2380
              csr.rdata(4 downto 0) <= csr.fflags;
2381
            end if;
2382
 
2383 11 zero_gravi
          -- machine trap setup --
2384 29 zero_gravi
          when csr_mstatus_c => -- R/W: mstatus - machine status register
2385 41 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie; -- MIE
2386
            csr.rdata(06) <= '1' and bool_to_ulogic_f(CPU_EXTENSION_RISCV_U); -- UBE: CPU/Processor is BIG-ENDIAN (in user-mode)
2387 27 zero_gravi
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
2388 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
2389
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
2390 40 zero_gravi
          when csr_mstatush_c => -- R/-: mstatush - machine status register - high part
2391 41 zero_gravi
            csr.rdata(05) <= '1'; -- MBE: CPU/Processor is BIG-ENDIAN (in machine-mode)
2392 29 zero_gravi
          when csr_misa_c => -- R/-: misa - ISA and extensions
2393 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
2394 44 zero_gravi
            csr.rdata(01) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B);     -- B CPU extension
2395 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
2396
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
2397 53 zero_gravi
            csr.rdata(05) <= '0';                                         -- F CPU extension
2398 27 zero_gravi
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
2399
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
2400
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
2401
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
2402
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
2403
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
2404 29 zero_gravi
          when csr_mie_c => -- R/W: mie - machine interrupt-enable register
2405 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
2406
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
2407
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
2408 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 enable
2409
              csr.rdata(16+i) <= csr.mie_firqe(i);
2410
            end loop; -- i
2411 29 zero_gravi
          when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
2412 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
2413 41 zero_gravi
          when csr_mcounteren_c => -- R/W: machine counter enable register
2414 51 zero_gravi
            if (CPU_EXTENSION_RISCV_U = true) then -- this CSR is hardwired to zero if user mode is not implemented
2415
              csr.rdata(0) <= csr.mcounteren_cy; -- enable user-level access to cycle[h]
2416
              csr.rdata(1) <= csr.mcounteren_tm; -- enable user-level access to time[h]
2417
              csr.rdata(2) <= csr.mcounteren_ir; -- enable user-level access to instret[h]
2418
              csr.rdata(csr.mcounteren_hpm'left+3 downto 3) <= csr.mcounteren_hpm; -- enable user-level access to hpmcounterx[h]
2419
            else
2420
              csr.rdata <= (others => '0');
2421
            end if;
2422 11 zero_gravi
 
2423
          -- machine trap handling --
2424 29 zero_gravi
          when csr_mscratch_c => -- R/W: mscratch - machine scratch register
2425 27 zero_gravi
            csr.rdata <= csr.mscratch;
2426 29 zero_gravi
          when csr_mepc_c => -- R/W: mepc - machine exception program counter
2427 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
2428 35 zero_gravi
          when csr_mcause_c => -- R/W: mcause - machine trap cause
2429 49 zero_gravi
            csr.rdata(31) <= csr.mcause(csr.mcause'left);
2430
            csr.rdata(csr.mcause'left-1 downto 0) <= csr.mcause(csr.mcause'left-1 downto 0);
2431 29 zero_gravi
          when csr_mtval_c => -- R/W: mtval - machine bad address or instruction
2432 27 zero_gravi
            csr.rdata <= csr.mtval;
2433 29 zero_gravi
          when csr_mip_c => -- R/W: mip - machine interrupt pending
2434 40 zero_gravi
            csr.rdata(03) <= csr.mip_status(interrupt_msw_irq_c);
2435
            csr.rdata(07) <= csr.mip_status(interrupt_mtime_irq_c);
2436
            csr.rdata(11) <= csr.mip_status(interrupt_mext_irq_c);
2437 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 pending
2438
              csr.rdata(16+i) <= csr.mip_status(interrupt_firq_0_c+i);
2439
            end loop; -- i
2440 11 zero_gravi
 
2441 37 zero_gravi
          -- physical memory protection - configuration --
2442 42 zero_gravi
          when csr_pmpcfg0_c  => csr.rdata <= csr.pmpcfg_rd(03) & csr.pmpcfg_rd(02) & csr.pmpcfg_rd(01) & csr.pmpcfg_rd(00); -- R/W: pmpcfg0
2443
          when csr_pmpcfg1_c  => csr.rdata <= csr.pmpcfg_rd(07) & csr.pmpcfg_rd(06) & csr.pmpcfg_rd(05) & csr.pmpcfg_rd(04); -- R/W: pmpcfg1
2444
          when csr_pmpcfg2_c  => csr.rdata <= csr.pmpcfg_rd(11) & csr.pmpcfg_rd(10) & csr.pmpcfg_rd(09) & csr.pmpcfg_rd(08); -- R/W: pmpcfg2
2445
          when csr_pmpcfg3_c  => csr.rdata <= csr.pmpcfg_rd(15) & csr.pmpcfg_rd(14) & csr.pmpcfg_rd(13) & csr.pmpcfg_rd(12); -- R/W: pmpcfg3
2446
          when csr_pmpcfg4_c  => csr.rdata <= csr.pmpcfg_rd(19) & csr.pmpcfg_rd(18) & csr.pmpcfg_rd(17) & csr.pmpcfg_rd(16); -- R/W: pmpcfg4
2447
          when csr_pmpcfg5_c  => csr.rdata <= csr.pmpcfg_rd(23) & csr.pmpcfg_rd(22) & csr.pmpcfg_rd(21) & csr.pmpcfg_rd(20); -- R/W: pmpcfg5
2448
          when csr_pmpcfg6_c  => csr.rdata <= csr.pmpcfg_rd(27) & csr.pmpcfg_rd(26) & csr.pmpcfg_rd(25) & csr.pmpcfg_rd(24); -- R/W: pmpcfg6
2449
          when csr_pmpcfg7_c  => csr.rdata <= csr.pmpcfg_rd(31) & csr.pmpcfg_rd(30) & csr.pmpcfg_rd(29) & csr.pmpcfg_rd(28); -- R/W: pmpcfg7
2450
          when csr_pmpcfg8_c  => csr.rdata <= csr.pmpcfg_rd(35) & csr.pmpcfg_rd(34) & csr.pmpcfg_rd(33) & csr.pmpcfg_rd(32); -- R/W: pmpcfg8
2451
          when csr_pmpcfg9_c  => csr.rdata <= csr.pmpcfg_rd(39) & csr.pmpcfg_rd(38) & csr.pmpcfg_rd(37) & csr.pmpcfg_rd(36); -- R/W: pmpcfg9
2452
          when csr_pmpcfg10_c => csr.rdata <= csr.pmpcfg_rd(43) & csr.pmpcfg_rd(42) & csr.pmpcfg_rd(41) & csr.pmpcfg_rd(40); -- R/W: pmpcfg10
2453
          when csr_pmpcfg11_c => csr.rdata <= csr.pmpcfg_rd(47) & csr.pmpcfg_rd(46) & csr.pmpcfg_rd(45) & csr.pmpcfg_rd(44); -- R/W: pmpcfg11
2454
          when csr_pmpcfg12_c => csr.rdata <= csr.pmpcfg_rd(51) & csr.pmpcfg_rd(50) & csr.pmpcfg_rd(49) & csr.pmpcfg_rd(48); -- R/W: pmpcfg12
2455
          when csr_pmpcfg13_c => csr.rdata <= csr.pmpcfg_rd(55) & csr.pmpcfg_rd(54) & csr.pmpcfg_rd(53) & csr.pmpcfg_rd(52); -- R/W: pmpcfg13
2456
          when csr_pmpcfg14_c => csr.rdata <= csr.pmpcfg_rd(59) & csr.pmpcfg_rd(58) & csr.pmpcfg_rd(57) & csr.pmpcfg_rd(56); -- R/W: pmpcfg14
2457
          when csr_pmpcfg15_c => csr.rdata <= csr.pmpcfg_rd(63) & csr.pmpcfg_rd(62) & csr.pmpcfg_rd(61) & csr.pmpcfg_rd(60); -- R/W: pmpcfg15
2458 15 zero_gravi
 
2459 37 zero_gravi
          -- physical memory protection - addresses --
2460 42 zero_gravi
          when csr_pmpaddr0_c  => csr.rdata <= csr.pmpaddr_rd(00); -- R/W: pmpaddr0
2461
          when csr_pmpaddr1_c  => csr.rdata <= csr.pmpaddr_rd(01); -- R/W: pmpaddr1
2462
          when csr_pmpaddr2_c  => csr.rdata <= csr.pmpaddr_rd(02); -- R/W: pmpaddr2
2463
          when csr_pmpaddr3_c  => csr.rdata <= csr.pmpaddr_rd(03); -- R/W: pmpaddr3
2464
          when csr_pmpaddr4_c  => csr.rdata <= csr.pmpaddr_rd(04); -- R/W: pmpaddr4
2465
          when csr_pmpaddr5_c  => csr.rdata <= csr.pmpaddr_rd(05); -- R/W: pmpaddr5
2466
          when csr_pmpaddr6_c  => csr.rdata <= csr.pmpaddr_rd(06); -- R/W: pmpaddr6
2467
          when csr_pmpaddr7_c  => csr.rdata <= csr.pmpaddr_rd(07); -- R/W: pmpaddr7
2468
          when csr_pmpaddr8_c  => csr.rdata <= csr.pmpaddr_rd(08); -- R/W: pmpaddr8
2469
          when csr_pmpaddr9_c  => csr.rdata <= csr.pmpaddr_rd(09); -- R/W: pmpaddr9
2470
          when csr_pmpaddr10_c => csr.rdata <= csr.pmpaddr_rd(10); -- R/W: pmpaddr10
2471
          when csr_pmpaddr11_c => csr.rdata <= csr.pmpaddr_rd(11); -- R/W: pmpaddr11
2472
          when csr_pmpaddr12_c => csr.rdata <= csr.pmpaddr_rd(12); -- R/W: pmpaddr12
2473
          when csr_pmpaddr13_c => csr.rdata <= csr.pmpaddr_rd(13); -- R/W: pmpaddr13
2474
          when csr_pmpaddr14_c => csr.rdata <= csr.pmpaddr_rd(14); -- R/W: pmpaddr14
2475
          when csr_pmpaddr15_c => csr.rdata <= csr.pmpaddr_rd(15); -- R/W: pmpaddr15
2476
          when csr_pmpaddr16_c => csr.rdata <= csr.pmpaddr_rd(16); -- R/W: pmpaddr16
2477
          when csr_pmpaddr17_c => csr.rdata <= csr.pmpaddr_rd(17); -- R/W: pmpaddr17
2478
          when csr_pmpaddr18_c => csr.rdata <= csr.pmpaddr_rd(18); -- R/W: pmpaddr18
2479
          when csr_pmpaddr19_c => csr.rdata <= csr.pmpaddr_rd(19); -- R/W: pmpaddr19
2480
          when csr_pmpaddr20_c => csr.rdata <= csr.pmpaddr_rd(20); -- R/W: pmpaddr20
2481
          when csr_pmpaddr21_c => csr.rdata <= csr.pmpaddr_rd(21); -- R/W: pmpaddr21
2482
          when csr_pmpaddr22_c => csr.rdata <= csr.pmpaddr_rd(22); -- R/W: pmpaddr22
2483
          when csr_pmpaddr23_c => csr.rdata <= csr.pmpaddr_rd(23); -- R/W: pmpaddr23
2484
          when csr_pmpaddr24_c => csr.rdata <= csr.pmpaddr_rd(24); -- R/W: pmpaddr24
2485
          when csr_pmpaddr25_c => csr.rdata <= csr.pmpaddr_rd(25); -- R/W: pmpaddr25
2486
          when csr_pmpaddr26_c => csr.rdata <= csr.pmpaddr_rd(26); -- R/W: pmpaddr26
2487
          when csr_pmpaddr27_c => csr.rdata <= csr.pmpaddr_rd(27); -- R/W: pmpaddr27
2488
          when csr_pmpaddr28_c => csr.rdata <= csr.pmpaddr_rd(28); -- R/W: pmpaddr28
2489
          when csr_pmpaddr29_c => csr.rdata <= csr.pmpaddr_rd(29); -- R/W: pmpaddr29
2490
          when csr_pmpaddr30_c => csr.rdata <= csr.pmpaddr_rd(30); -- R/W: pmpaddr30
2491
          when csr_pmpaddr31_c => csr.rdata <= csr.pmpaddr_rd(31); -- R/W: pmpaddr31
2492
          when csr_pmpaddr32_c => csr.rdata <= csr.pmpaddr_rd(32); -- R/W: pmpaddr32
2493
          when csr_pmpaddr33_c => csr.rdata <= csr.pmpaddr_rd(33); -- R/W: pmpaddr33
2494
          when csr_pmpaddr34_c => csr.rdata <= csr.pmpaddr_rd(34); -- R/W: pmpaddr34
2495
          when csr_pmpaddr35_c => csr.rdata <= csr.pmpaddr_rd(35); -- R/W: pmpaddr35
2496
          when csr_pmpaddr36_c => csr.rdata <= csr.pmpaddr_rd(36); -- R/W: pmpaddr36
2497
          when csr_pmpaddr37_c => csr.rdata <= csr.pmpaddr_rd(37); -- R/W: pmpaddr37
2498
          when csr_pmpaddr38_c => csr.rdata <= csr.pmpaddr_rd(38); -- R/W: pmpaddr38
2499
          when csr_pmpaddr39_c => csr.rdata <= csr.pmpaddr_rd(39); -- R/W: pmpaddr39
2500
          when csr_pmpaddr40_c => csr.rdata <= csr.pmpaddr_rd(40); -- R/W: pmpaddr40
2501
          when csr_pmpaddr41_c => csr.rdata <= csr.pmpaddr_rd(41); -- R/W: pmpaddr41
2502
          when csr_pmpaddr42_c => csr.rdata <= csr.pmpaddr_rd(42); -- R/W: pmpaddr42
2503
          when csr_pmpaddr43_c => csr.rdata <= csr.pmpaddr_rd(43); -- R/W: pmpaddr43
2504
          when csr_pmpaddr44_c => csr.rdata <= csr.pmpaddr_rd(44); -- R/W: pmpaddr44
2505
          when csr_pmpaddr45_c => csr.rdata <= csr.pmpaddr_rd(45); -- R/W: pmpaddr45
2506
          when csr_pmpaddr46_c => csr.rdata <= csr.pmpaddr_rd(46); -- R/W: pmpaddr46
2507
          when csr_pmpaddr47_c => csr.rdata <= csr.pmpaddr_rd(47); -- R/W: pmpaddr47
2508
          when csr_pmpaddr48_c => csr.rdata <= csr.pmpaddr_rd(48); -- R/W: pmpaddr48
2509
          when csr_pmpaddr49_c => csr.rdata <= csr.pmpaddr_rd(49); -- R/W: pmpaddr49
2510
          when csr_pmpaddr50_c => csr.rdata <= csr.pmpaddr_rd(50); -- R/W: pmpaddr50
2511
          when csr_pmpaddr51_c => csr.rdata <= csr.pmpaddr_rd(51); -- R/W: pmpaddr51
2512
          when csr_pmpaddr52_c => csr.rdata <= csr.pmpaddr_rd(52); -- R/W: pmpaddr52
2513
          when csr_pmpaddr53_c => csr.rdata <= csr.pmpaddr_rd(53); -- R/W: pmpaddr53
2514
          when csr_pmpaddr54_c => csr.rdata <= csr.pmpaddr_rd(54); -- R/W: pmpaddr54
2515
          when csr_pmpaddr55_c => csr.rdata <= csr.pmpaddr_rd(55); -- R/W: pmpaddr55
2516
          when csr_pmpaddr56_c => csr.rdata <= csr.pmpaddr_rd(56); -- R/W: pmpaddr56
2517
          when csr_pmpaddr57_c => csr.rdata <= csr.pmpaddr_rd(57); -- R/W: pmpaddr57
2518
          when csr_pmpaddr58_c => csr.rdata <= csr.pmpaddr_rd(58); -- R/W: pmpaddr58
2519
          when csr_pmpaddr59_c => csr.rdata <= csr.pmpaddr_rd(59); -- R/W: pmpaddr59
2520
          when csr_pmpaddr60_c => csr.rdata <= csr.pmpaddr_rd(60); -- R/W: pmpaddr60
2521
          when csr_pmpaddr61_c => csr.rdata <= csr.pmpaddr_rd(61); -- R/W: pmpaddr61
2522
          when csr_pmpaddr62_c => csr.rdata <= csr.pmpaddr_rd(62); -- R/W: pmpaddr62
2523
          when csr_pmpaddr63_c => csr.rdata <= csr.pmpaddr_rd(63); -- R/W: pmpaddr63
2524 15 zero_gravi
 
2525 41 zero_gravi
          -- machine counter setup --
2526
          -- --------------------------------------------------------------------
2527
          when csr_mcountinhibit_c => -- R/W: mcountinhibit - machine counter-inhibit register
2528
            csr.rdata(0) <= csr.mcountinhibit_cy; -- enable auto-increment of [m]cycle[h] counter
2529
            csr.rdata(2) <= csr.mcountinhibit_ir; -- enable auto-increment of [m]instret[h] counter
2530 42 zero_gravi
            csr.rdata(csr.mcountinhibit_hpm'left+3 downto 3) <= csr.mcountinhibit_hpm; -- enable auto-increment of [m]hpmcounterx[h] counter
2531 41 zero_gravi
 
2532 42 zero_gravi
          -- machine performance-monitoring event selector --
2533
          when csr_mhpmevent3_c  => csr.rdata(csr.mhpmevent_rd(00)'left downto 0) <= csr.mhpmevent_rd(00); -- R/W: mhpmevent3
2534
          when csr_mhpmevent4_c  => csr.rdata(csr.mhpmevent_rd(01)'left downto 0) <= csr.mhpmevent_rd(01); -- R/W: mhpmevent4
2535
          when csr_mhpmevent5_c  => csr.rdata(csr.mhpmevent_rd(02)'left downto 0) <= csr.mhpmevent_rd(02); -- R/W: mhpmevent5
2536
          when csr_mhpmevent6_c  => csr.rdata(csr.mhpmevent_rd(03)'left downto 0) <= csr.mhpmevent_rd(03); -- R/W: mhpmevent6
2537
          when csr_mhpmevent7_c  => csr.rdata(csr.mhpmevent_rd(04)'left downto 0) <= csr.mhpmevent_rd(04); -- R/W: mhpmevent7
2538
          when csr_mhpmevent8_c  => csr.rdata(csr.mhpmevent_rd(05)'left downto 0) <= csr.mhpmevent_rd(05); -- R/W: mhpmevent8
2539
          when csr_mhpmevent9_c  => csr.rdata(csr.mhpmevent_rd(06)'left downto 0) <= csr.mhpmevent_rd(06); -- R/W: mhpmevent9
2540
          when csr_mhpmevent10_c => csr.rdata(csr.mhpmevent_rd(07)'left downto 0) <= csr.mhpmevent_rd(07); -- R/W: mhpmevent10
2541
          when csr_mhpmevent11_c => csr.rdata(csr.mhpmevent_rd(08)'left downto 0) <= csr.mhpmevent_rd(08); -- R/W: mhpmevent11
2542
          when csr_mhpmevent12_c => csr.rdata(csr.mhpmevent_rd(09)'left downto 0) <= csr.mhpmevent_rd(09); -- R/W: mhpmevent12
2543
          when csr_mhpmevent13_c => csr.rdata(csr.mhpmevent_rd(10)'left downto 0) <= csr.mhpmevent_rd(10); -- R/W: mhpmevent13
2544
          when csr_mhpmevent14_c => csr.rdata(csr.mhpmevent_rd(11)'left downto 0) <= csr.mhpmevent_rd(11); -- R/W: mhpmevent14
2545
          when csr_mhpmevent15_c => csr.rdata(csr.mhpmevent_rd(12)'left downto 0) <= csr.mhpmevent_rd(12); -- R/W: mhpmevent15
2546
          when csr_mhpmevent16_c => csr.rdata(csr.mhpmevent_rd(13)'left downto 0) <= csr.mhpmevent_rd(13); -- R/W: mhpmevent16
2547
          when csr_mhpmevent17_c => csr.rdata(csr.mhpmevent_rd(14)'left downto 0) <= csr.mhpmevent_rd(14); -- R/W: mhpmevent17
2548
          when csr_mhpmevent18_c => csr.rdata(csr.mhpmevent_rd(15)'left downto 0) <= csr.mhpmevent_rd(15); -- R/W: mhpmevent18
2549
          when csr_mhpmevent19_c => csr.rdata(csr.mhpmevent_rd(16)'left downto 0) <= csr.mhpmevent_rd(16); -- R/W: mhpmevent19
2550
          when csr_mhpmevent20_c => csr.rdata(csr.mhpmevent_rd(17)'left downto 0) <= csr.mhpmevent_rd(17); -- R/W: mhpmevent20
2551
          when csr_mhpmevent21_c => csr.rdata(csr.mhpmevent_rd(18)'left downto 0) <= csr.mhpmevent_rd(18); -- R/W: mhpmevent21
2552
          when csr_mhpmevent22_c => csr.rdata(csr.mhpmevent_rd(19)'left downto 0) <= csr.mhpmevent_rd(19); -- R/W: mhpmevent22
2553
          when csr_mhpmevent23_c => csr.rdata(csr.mhpmevent_rd(20)'left downto 0) <= csr.mhpmevent_rd(20); -- R/W: mhpmevent23
2554
          when csr_mhpmevent24_c => csr.rdata(csr.mhpmevent_rd(21)'left downto 0) <= csr.mhpmevent_rd(21); -- R/W: mhpmevent24
2555
          when csr_mhpmevent25_c => csr.rdata(csr.mhpmevent_rd(22)'left downto 0) <= csr.mhpmevent_rd(22); -- R/W: mhpmevent25
2556
          when csr_mhpmevent26_c => csr.rdata(csr.mhpmevent_rd(23)'left downto 0) <= csr.mhpmevent_rd(23); -- R/W: mhpmevent26
2557
          when csr_mhpmevent27_c => csr.rdata(csr.mhpmevent_rd(24)'left downto 0) <= csr.mhpmevent_rd(24); -- R/W: mhpmevent27
2558
          when csr_mhpmevent28_c => csr.rdata(csr.mhpmevent_rd(25)'left downto 0) <= csr.mhpmevent_rd(25); -- R/W: mhpmevent28
2559
          when csr_mhpmevent29_c => csr.rdata(csr.mhpmevent_rd(26)'left downto 0) <= csr.mhpmevent_rd(26); -- R/W: mhpmevent29
2560
          when csr_mhpmevent30_c => csr.rdata(csr.mhpmevent_rd(27)'left downto 0) <= csr.mhpmevent_rd(27); -- R/W: mhpmevent30
2561
          when csr_mhpmevent31_c => csr.rdata(csr.mhpmevent_rd(28)'left downto 0) <= csr.mhpmevent_rd(28); -- R/W: mhpmevent31
2562
 
2563 29 zero_gravi
          -- counters and timers --
2564 42 zero_gravi
          when csr_cycle_c | csr_mcycle_c => -- (R)/(W): [m]cycle: Cycle counter LOW
2565 27 zero_gravi
            csr.rdata <= csr.mcycle(31 downto 0);
2566 42 zero_gravi
          when csr_time_c => -- (R)/-: time: System time LOW (from MTIME unit)
2567 27 zero_gravi
            csr.rdata <= time_i(31 downto 0);
2568 42 zero_gravi
          when csr_instret_c | csr_minstret_c => -- (R)/(W): [m]instret: Instructions-retired counter LOW
2569 27 zero_gravi
            csr.rdata <= csr.minstret(31 downto 0);
2570 42 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- (R)/(W): [m]cycleh: Cycle counter HIGH
2571 27 zero_gravi
            csr.rdata <= csr.mcycleh(31 downto 0);
2572 42 zero_gravi
          when csr_timeh_c => -- (R)/-: timeh: System time HIGH (from MTIME unit)
2573 27 zero_gravi
            csr.rdata <= time_i(63 downto 32);
2574 42 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- (R)/(W): [m]instreth: Instructions-retired counter HIGH
2575 27 zero_gravi
            csr.rdata <= csr.minstreth(31 downto 0);
2576 11 zero_gravi
 
2577 42 zero_gravi
          -- hardware performance counters --
2578
          when csr_hpmcounter3_c   | csr_mhpmcounter3_c   => csr.rdata <= csr.mhpmcounter_rd(00)(31 downto 0); -- (R)/(W): [m]hpmcounter3 - low
2579
          when csr_hpmcounter4_c   | csr_mhpmcounter4_c   => csr.rdata <= csr.mhpmcounter_rd(01)(31 downto 0); -- (R)/(W): [m]hpmcounter4 - low
2580
          when csr_hpmcounter5_c   | csr_mhpmcounter5_c   => csr.rdata <= csr.mhpmcounter_rd(02)(31 downto 0); -- (R)/(W): [m]hpmcounter5 - low
2581
          when csr_hpmcounter6_c   | csr_mhpmcounter6_c   => csr.rdata <= csr.mhpmcounter_rd(03)(31 downto 0); -- (R)/(W): [m]hpmcounter6 - low
2582
          when csr_hpmcounter7_c   | csr_mhpmcounter7_c   => csr.rdata <= csr.mhpmcounter_rd(04)(31 downto 0); -- (R)/(W): [m]hpmcounter7 - low
2583
          when csr_hpmcounter8_c   | csr_mhpmcounter8_c   => csr.rdata <= csr.mhpmcounter_rd(05)(31 downto 0); -- (R)/(W): [m]hpmcounter8 - low
2584
          when csr_hpmcounter9_c   | csr_mhpmcounter9_c   => csr.rdata <= csr.mhpmcounter_rd(06)(31 downto 0); -- (R)/(W): [m]hpmcounter9 - low
2585
          when csr_hpmcounter10_c  | csr_mhpmcounter10_c  => csr.rdata <= csr.mhpmcounter_rd(07)(31 downto 0); -- (R)/(W): [m]hpmcounter10 - low
2586
          when csr_hpmcounter11_c  | csr_mhpmcounter11_c  => csr.rdata <= csr.mhpmcounter_rd(08)(31 downto 0); -- (R)/(W): [m]hpmcounter11 - low
2587
          when csr_hpmcounter12_c  | csr_mhpmcounter12_c  => csr.rdata <= csr.mhpmcounter_rd(09)(31 downto 0); -- (R)/(W): [m]hpmcounter12 - low
2588
          when csr_hpmcounter13_c  | csr_mhpmcounter13_c  => csr.rdata <= csr.mhpmcounter_rd(10)(31 downto 0); -- (R)/(W): [m]hpmcounter13 - low
2589
          when csr_hpmcounter14_c  | csr_mhpmcounter14_c  => csr.rdata <= csr.mhpmcounter_rd(11)(31 downto 0); -- (R)/(W): [m]hpmcounter14 - low
2590
          when csr_hpmcounter15_c  | csr_mhpmcounter15_c  => csr.rdata <= csr.mhpmcounter_rd(12)(31 downto 0); -- (R)/(W): [m]hpmcounter15 - low
2591
          when csr_hpmcounter16_c  | csr_mhpmcounter16_c  => csr.rdata <= csr.mhpmcounter_rd(13)(31 downto 0); -- (R)/(W): [m]hpmcounter16 - low
2592
          when csr_hpmcounter17_c  | csr_mhpmcounter17_c  => csr.rdata <= csr.mhpmcounter_rd(14)(31 downto 0); -- (R)/(W): [m]hpmcounter17 - low
2593
          when csr_hpmcounter18_c  | csr_mhpmcounter18_c  => csr.rdata <= csr.mhpmcounter_rd(15)(31 downto 0); -- (R)/(W): [m]hpmcounter18 - low
2594
          when csr_hpmcounter19_c  | csr_mhpmcounter19_c  => csr.rdata <= csr.mhpmcounter_rd(16)(31 downto 0); -- (R)/(W): [m]hpmcounter19 - low
2595
          when csr_hpmcounter20_c  | csr_mhpmcounter20_c  => csr.rdata <= csr.mhpmcounter_rd(17)(31 downto 0); -- (R)/(W): [m]hpmcounter20 - low
2596
          when csr_hpmcounter21_c  | csr_mhpmcounter21_c  => csr.rdata <= csr.mhpmcounter_rd(18)(31 downto 0); -- (R)/(W): [m]hpmcounter21 - low
2597
          when csr_hpmcounter22_c  | csr_mhpmcounter22_c  => csr.rdata <= csr.mhpmcounter_rd(19)(31 downto 0); -- (R)/(W): [m]hpmcounter22 - low
2598
          when csr_hpmcounter23_c  | csr_mhpmcounter23_c  => csr.rdata <= csr.mhpmcounter_rd(20)(31 downto 0); -- (R)/(W): [m]hpmcounter23 - low
2599
          when csr_hpmcounter24_c  | csr_mhpmcounter24_c  => csr.rdata <= csr.mhpmcounter_rd(21)(31 downto 0); -- (R)/(W): [m]hpmcounter24 - low
2600
          when csr_hpmcounter25_c  | csr_mhpmcounter25_c  => csr.rdata <= csr.mhpmcounter_rd(22)(31 downto 0); -- (R)/(W): [m]hpmcounter25 - low
2601
          when csr_hpmcounter26_c  | csr_mhpmcounter26_c  => csr.rdata <= csr.mhpmcounter_rd(23)(31 downto 0); -- (R)/(W): [m]hpmcounter26 - low
2602
          when csr_hpmcounter27_c  | csr_mhpmcounter27_c  => csr.rdata <= csr.mhpmcounter_rd(24)(31 downto 0); -- (R)/(W): [m]hpmcounter27 - low
2603
          when csr_hpmcounter28_c  | csr_mhpmcounter28_c  => csr.rdata <= csr.mhpmcounter_rd(25)(31 downto 0); -- (R)/(W): [m]hpmcounter28 - low
2604
          when csr_hpmcounter29_c  | csr_mhpmcounter29_c  => csr.rdata <= csr.mhpmcounter_rd(26)(31 downto 0); -- (R)/(W): [m]hpmcounter29 - low
2605
          when csr_hpmcounter30_c  | csr_mhpmcounter30_c  => csr.rdata <= csr.mhpmcounter_rd(27)(31 downto 0); -- (R)/(W): [m]hpmcounter30 - low
2606
          when csr_hpmcounter31_c  | csr_mhpmcounter31_c  => csr.rdata <= csr.mhpmcounter_rd(28)(31 downto 0); -- (R)/(W): [m]hpmcounter31 - low
2607
 
2608
          when csr_hpmcounter3h_c  | csr_mhpmcounter3h_c  => csr.rdata <= csr.mhpmcounterh_rd(00)(31 downto 0); -- (R)/(W): [m]hpmcounter3h - high
2609
          when csr_hpmcounter4h_c  | csr_mhpmcounter4h_c  => csr.rdata <= csr.mhpmcounterh_rd(01)(31 downto 0); -- (R)/(W): [m]hpmcounter4h - high
2610
          when csr_hpmcounter5h_c  | csr_mhpmcounter5h_c  => csr.rdata <= csr.mhpmcounterh_rd(02)(31 downto 0); -- (R)/(W): [m]hpmcounter5h - high
2611
          when csr_hpmcounter6h_c  | csr_mhpmcounter6h_c  => csr.rdata <= csr.mhpmcounterh_rd(03)(31 downto 0); -- (R)/(W): [m]hpmcounter6h - high
2612
          when csr_hpmcounter7h_c  | csr_mhpmcounter7h_c  => csr.rdata <= csr.mhpmcounterh_rd(04)(31 downto 0); -- (R)/(W): [m]hpmcounter7h - high
2613
          when csr_hpmcounter8h_c  | csr_mhpmcounter8h_c  => csr.rdata <= csr.mhpmcounterh_rd(05)(31 downto 0); -- (R)/(W): [m]hpmcounter8h - high
2614
          when csr_hpmcounter9h_c  | csr_mhpmcounter9h_c  => csr.rdata <= csr.mhpmcounterh_rd(06)(31 downto 0); -- (R)/(W): [m]hpmcounter9h - high
2615
          when csr_hpmcounter10h_c | csr_mhpmcounter10h_c => csr.rdata <= csr.mhpmcounterh_rd(07)(31 downto 0); -- (R)/(W): [m]hpmcounter10h - high
2616
          when csr_hpmcounter11h_c | csr_mhpmcounter11h_c => csr.rdata <= csr.mhpmcounterh_rd(08)(31 downto 0); -- (R)/(W): [m]hpmcounter11h - high
2617
          when csr_hpmcounter12h_c | csr_mhpmcounter12h_c => csr.rdata <= csr.mhpmcounterh_rd(09)(31 downto 0); -- (R)/(W): [m]hpmcounter12h - high
2618
          when csr_hpmcounter13h_c | csr_mhpmcounter13h_c => csr.rdata <= csr.mhpmcounterh_rd(10)(31 downto 0); -- (R)/(W): [m]hpmcounter13h - high
2619
          when csr_hpmcounter14h_c | csr_mhpmcounter14h_c => csr.rdata <= csr.mhpmcounterh_rd(11)(31 downto 0); -- (R)/(W): [m]hpmcounter14h - high
2620
          when csr_hpmcounter15h_c | csr_mhpmcounter15h_c => csr.rdata <= csr.mhpmcounterh_rd(12)(31 downto 0); -- (R)/(W): [m]hpmcounter15h - high
2621
          when csr_hpmcounter16h_c | csr_mhpmcounter16h_c => csr.rdata <= csr.mhpmcounterh_rd(13)(31 downto 0); -- (R)/(W): [m]hpmcounter16h - high
2622
          when csr_hpmcounter17h_c | csr_mhpmcounter17h_c => csr.rdata <= csr.mhpmcounterh_rd(14)(31 downto 0); -- (R)/(W): [m]hpmcounter17h - high
2623
          when csr_hpmcounter18h_c | csr_mhpmcounter18h_c => csr.rdata <= csr.mhpmcounterh_rd(15)(31 downto 0); -- (R)/(W): [m]hpmcounter18h - high
2624
          when csr_hpmcounter19h_c | csr_mhpmcounter19h_c => csr.rdata <= csr.mhpmcounterh_rd(16)(31 downto 0); -- (R)/(W): [m]hpmcounter19h - high
2625
          when csr_hpmcounter20h_c | csr_mhpmcounter20h_c => csr.rdata <= csr.mhpmcounterh_rd(17)(31 downto 0); -- (R)/(W): [m]hpmcounter20h - high
2626
          when csr_hpmcounter21h_c | csr_mhpmcounter21h_c => csr.rdata <= csr.mhpmcounterh_rd(18)(31 downto 0); -- (R)/(W): [m]hpmcounter21h - high
2627
          when csr_hpmcounter22h_c | csr_mhpmcounter22h_c => csr.rdata <= csr.mhpmcounterh_rd(19)(31 downto 0); -- (R)/(W): [m]hpmcounter22h - high
2628
          when csr_hpmcounter23h_c | csr_mhpmcounter23h_c => csr.rdata <= csr.mhpmcounterh_rd(20)(31 downto 0); -- (R)/(W): [m]hpmcounter23h - high
2629
          when csr_hpmcounter24h_c | csr_mhpmcounter24h_c => csr.rdata <= csr.mhpmcounterh_rd(21)(31 downto 0); -- (R)/(W): [m]hpmcounter24h - high
2630
          when csr_hpmcounter25h_c | csr_mhpmcounter25h_c => csr.rdata <= csr.mhpmcounterh_rd(22)(31 downto 0); -- (R)/(W): [m]hpmcounter25h - high
2631
          when csr_hpmcounter26h_c | csr_mhpmcounter26h_c => csr.rdata <= csr.mhpmcounterh_rd(23)(31 downto 0); -- (R)/(W): [m]hpmcounter26h - high
2632
          when csr_hpmcounter27h_c | csr_mhpmcounter27h_c => csr.rdata <= csr.mhpmcounterh_rd(24)(31 downto 0); -- (R)/(W): [m]hpmcounter27h - high
2633
          when csr_hpmcounter28h_c | csr_mhpmcounter28h_c => csr.rdata <= csr.mhpmcounterh_rd(25)(31 downto 0); -- (R)/(W): [m]hpmcounter28h - high
2634
          when csr_hpmcounter29h_c | csr_mhpmcounter29h_c => csr.rdata <= csr.mhpmcounterh_rd(26)(31 downto 0); -- (R)/(W): [m]hpmcounter29h - high
2635
          when csr_hpmcounter30h_c | csr_mhpmcounter30h_c => csr.rdata <= csr.mhpmcounterh_rd(27)(31 downto 0); -- (R)/(W): [m]hpmcounter30h - high
2636
          when csr_hpmcounter31h_c | csr_mhpmcounter31h_c => csr.rdata <= csr.mhpmcounterh_rd(28)(31 downto 0); -- (R)/(W): [m]hpmcounter31h - high
2637
 
2638 11 zero_gravi
          -- machine information registers --
2639 29 zero_gravi
          when csr_mvendorid_c => -- R/-: mvendorid - vendor ID
2640 27 zero_gravi
            csr.rdata <= (others => '0');
2641 34 zero_gravi
          when csr_marchid_c => -- R/-: marchid - arch ID
2642
            csr.rdata(4 downto 0) <= "10011"; -- official RISC-V open-source arch ID
2643 32 zero_gravi
          when csr_mimpid_c => -- R/-: mimpid - implementation ID
2644
            csr.rdata <= hw_version_c; -- NEORV32 hardware version
2645 29 zero_gravi
          when csr_mhartid_c => -- R/-: mhartid - hardware thread ID
2646 49 zero_gravi
            csr.rdata <= std_ulogic_vector(to_unsigned(HW_THREAD_ID, 32));
2647 11 zero_gravi
 
2648 22 zero_gravi
          -- custom machine read-only CSRs --
2649 53 zero_gravi
          when csr_mzext_c => -- R/-: mzext - available RISC-V Z* sub-extensions
2650 44 zero_gravi
            csr.rdata(0) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr);    -- Zicsr
2651
            csr.rdata(1) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- Zifencei
2652 53 zero_gravi
            csr.rdata(2) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B);        -- Zbb (B)
2653
            csr.rdata(3) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B);        -- Zbs (B)
2654
            csr.rdata(4) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B);        -- Zba (B)
2655
            csr.rdata(5) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx);    -- Zfinx ("F-alternative")
2656 22 zero_gravi
 
2657 11 zero_gravi
          -- undefined/unavailable --
2658
          when others =>
2659 27 zero_gravi
            csr.rdata <= (others => '0'); -- not implemented
2660 11 zero_gravi
 
2661
        end case;
2662 2 zero_gravi
      end if;
2663
    end if;
2664
  end process csr_read_access;
2665
 
2666 27 zero_gravi
  -- CSR read data output --
2667
  csr_rdata_o <= csr.rdata;
2668
 
2669 12 zero_gravi
 
2670 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.