OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 71

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 71 zero_gravi
-- # << NEORV32 - CPU Operations Control Unit >>                                                   #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 71 zero_gravi
-- # CPU operations are controlled by several "engines" (modules). These engines operate in        #
5
-- # parallel to implement a simple pipeline:                                                      #
6
-- #  + Fetch engine:   Fetches 32-bit chunks of instruction words                                 #
7
-- #  + Issue engine:   Decodes compressed instructions, aligns and queues instruction words       #
8
-- #  + Execute engine: Multi-cycle execution of instructions (generate control signals)           #
9
-- #  + Trap engine:    Handles interrupts and exceptions                                          #
10
-- #  + CSR module:     Read/write accesses to CSRs & HW counters                                  #
11
-- #  + Debug module:   CPU debug mode handling (on-chip debugger)                                 #
12 2 zero_gravi
-- # ********************************************************************************************* #
13
-- # BSD 3-Clause License                                                                          #
14
-- #                                                                                               #
15 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
16 2 zero_gravi
-- #                                                                                               #
17
-- # Redistribution and use in source and binary forms, with or without modification, are          #
18
-- # permitted provided that the following conditions are met:                                     #
19
-- #                                                                                               #
20
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
21
-- #    conditions and the following disclaimer.                                                   #
22
-- #                                                                                               #
23
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
24
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
25
-- #    provided with the distribution.                                                            #
26
-- #                                                                                               #
27
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
28
-- #    endorse or promote products derived from this software without specific prior written      #
29
-- #    permission.                                                                                #
30
-- #                                                                                               #
31
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
32
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
33
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
34
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
35
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
36
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
37
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
38
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
39
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
40
-- # ********************************************************************************************* #
41
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
42
-- #################################################################################################
43
 
44
library ieee;
45
use ieee.std_logic_1164.all;
46
use ieee.numeric_std.all;
47
 
48
library neorv32;
49
use neorv32.neorv32_package.all;
50
 
51
entity neorv32_cpu_control is
52
  generic (
53
    -- General --
54 62 zero_gravi
    HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
55
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
56
    CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
57 2 zero_gravi
    -- RISC-V CPU Extensions --
58 62 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
59 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
60 62 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
61
    CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
62 66 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
63 62 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
64
    CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
65
    CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
66 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
67
    CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
68 62 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
69
    CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
70
    CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
71 56 zero_gravi
    -- Extension Options --
72 62 zero_gravi
    CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
73
    CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
74 15 zero_gravi
    -- Physical memory protection (PMP) --
75 62 zero_gravi
    PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
76
    PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
77 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
78 62 zero_gravi
    HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
79
    HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
80 2 zero_gravi
  );
81
  port (
82
    -- global control --
83
    clk_i         : in  std_ulogic; -- global clock, rising edge
84
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
85
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
86
    -- status input --
87 61 zero_gravi
    alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
88 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
89
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
90 57 zero_gravi
    excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
91 2 zero_gravi
    -- data input --
92
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
93
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
94 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
95
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
96 2 zero_gravi
    -- data output --
97
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
98 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
99
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
100 68 zero_gravi
    next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction)
101 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
102 52 zero_gravi
    -- FPU interface --
103
    fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
104 59 zero_gravi
    -- debug mode (halt) request --
105
    db_halt_req_i : in  std_ulogic;
106 14 zero_gravi
    -- interrupts (risc-v compliant) --
107
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
108
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
109 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
110 14 zero_gravi
    -- fast interrupts (custom) --
111 48 zero_gravi
    firq_i        : in  std_ulogic_vector(15 downto 0);
112 11 zero_gravi
    -- system time input from MTIME --
113
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
114 15 zero_gravi
    -- physical memory protection --
115 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
116
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
117 2 zero_gravi
    -- bus access exceptions --
118 66 zero_gravi
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
119 2 zero_gravi
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
120
    ma_load_i     : in  std_ulogic; -- misaligned load data address
121
    ma_store_i    : in  std_ulogic; -- misaligned store data address
122
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
123
    be_load_i     : in  std_ulogic; -- bus error on load data access
124 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
125 2 zero_gravi
  );
126
end neorv32_cpu_control;
127
 
128
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
129
 
130 56 zero_gravi
  -- CPU core counter ([m]cycle, [m]instret) width - high/low parts --
131
  constant cpu_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH < 32), CPU_CNT_WIDTH, 32));
132
  constant cpu_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH > 32), CPU_CNT_WIDTH-32, 0));
133
 
134
  -- HPM counter width - high/low parts --
135
  constant hpm_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH < 32), HPM_CNT_WIDTH, 32));
136
  constant hpm_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH > 32), HPM_CNT_WIDTH-32, 0));
137
 
138 57 zero_gravi
  -- instruction fetch engine --
139
  type fetch_engine_state_t is (IFETCH_REQUEST, IFETCH_ISSUE);
140 6 zero_gravi
  type fetch_engine_t is record
141 31 zero_gravi
    state       : fetch_engine_state_t;
142
    state_nxt   : fetch_engine_state_t;
143 42 zero_gravi
    state_prev  : fetch_engine_state_t;
144 57 zero_gravi
    restart     : std_ulogic;
145
    restart_nxt : std_ulogic;
146 31 zero_gravi
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
147
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
148
    reset       : std_ulogic;
149
    bus_err_ack : std_ulogic;
150 6 zero_gravi
  end record;
151
  signal fetch_engine : fetch_engine_t;
152 2 zero_gravi
 
153 61 zero_gravi
  -- instruction prefetch buffer (FIFO) interface --
154 6 zero_gravi
  type ipb_t is record
155 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
156
    we    : std_ulogic; -- trigger write
157
    free  : std_ulogic; -- free entry available?
158
    clear : std_ulogic; -- clear all entries
159 20 zero_gravi
    --
160 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
161
    re    : std_ulogic; -- read enable
162
    avail : std_ulogic; -- data available?
163 6 zero_gravi
  end record;
164
  signal ipb : ipb_t;
165 2 zero_gravi
 
166 31 zero_gravi
  -- pre-decoder --
167
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
168
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
169
  signal ci_illegal : std_ulogic;
170
 
171 57 zero_gravi
  -- instruction issue engine --
172 31 zero_gravi
  type issue_engine_t is record
173 71 zero_gravi
    realign     : std_ulogic;
174
    realign_nxt : std_ulogic;
175
    align       : std_ulogic;
176
    align_nxt   : std_ulogic;
177
    buf         : std_ulogic_vector(2+15 downto 0);
178
    buf_nxt     : std_ulogic_vector(2+15 downto 0);
179 31 zero_gravi
  end record;
180
  signal issue_engine : issue_engine_t;
181
 
182 37 zero_gravi
  -- instruction issue interface --
183
  type cmd_issue_t is record
184
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
185
    valid : std_ulogic; -- data word is valid when set
186 31 zero_gravi
  end record;
187 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
188 31 zero_gravi
 
189 44 zero_gravi
  -- instruction decoding helper logic --
190
  type decode_aux_t is record
191 71 zero_gravi
    is_a_lr     : std_ulogic;
192
    is_a_sc     : std_ulogic;
193
    is_f_op     : std_ulogic;
194
    sys_env_cmd : std_ulogic_vector(11 downto 0);
195
    is_m_mul    : std_ulogic;
196
    is_m_div    : std_ulogic;
197
    is_b_imm    : std_ulogic;
198
    is_b_reg    : std_ulogic;
199
    rs1_zero    : std_ulogic;
200
    rs2_zero    : std_ulogic;
201
    rd_zero     : std_ulogic;
202 44 zero_gravi
  end record;
203
  signal decode_aux : decode_aux_t;
204
 
205 6 zero_gravi
  -- instruction execution engine --
206 66 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP_ENTER, TRAP_EXIT, TRAP_EXECUTE, EXECUTE, ALU_WAIT,
207
                                  BRANCH, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS);
208 6 zero_gravi
  type execute_engine_t is record
209
    state        : execute_engine_state_t;
210
    state_nxt    : execute_engine_state_t;
211 42 zero_gravi
    state_prev   : execute_engine_state_t;
212 39 zero_gravi
    --
213 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
214
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
215 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
216 39 zero_gravi
    --
217 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
218
    is_ci_nxt    : std_ulogic;
219 66 zero_gravi
    is_ici       : std_ulogic; -- current instruction is illegal de-compressed instruction
220
    is_ici_nxt   : std_ulogic;
221 39 zero_gravi
    --
222 57 zero_gravi
    branch_taken : std_ulogic; -- branch condition fulfilled
223 6 zero_gravi
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
224 49 zero_gravi
    pc_mux_sel   : std_ulogic; -- source select for PC update
225 39 zero_gravi
    pc_we        : std_ulogic; -- PC update enabled
226 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
227 49 zero_gravi
    next_pc_inc  : std_ulogic_vector(data_width_c-1 downto 0); -- increment to get next PC
228 6 zero_gravi
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
229 39 zero_gravi
    --
230 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
231 39 zero_gravi
    sleep_nxt    : std_ulogic;
232 49 zero_gravi
    branched     : std_ulogic; -- instruction fetch was reset
233
    branched_nxt : std_ulogic;
234 6 zero_gravi
  end record;
235
  signal execute_engine : execute_engine_t;
236 2 zero_gravi
 
237 6 zero_gravi
  -- trap controller --
238
  type trap_ctrl_t is record
239
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
240
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
241
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
242
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
243 71 zero_gravi
    exc_clr       : std_ulogic; -- clear all buffered exceptions
244 59 zero_gravi
    cause         : std_ulogic_vector(6 downto 0); -- trap ID for mcause CSR
245
    cause_nxt     : std_ulogic_vector(6 downto 0);
246
    db_irq_fire   : std_ulogic; -- set if there is a valid IRQ source in the "enter debug mode" trap buffer
247 63 zero_gravi
    db_irq_en     : std_ulogic; -- set if IRQs are allowed in debug mode
248 6 zero_gravi
    --
249
    env_start     : std_ulogic; -- start trap handler env
250
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
251
    env_end       : std_ulogic; -- end trap handler env
252
    --
253
    instr_be      : std_ulogic; -- instruction fetch bus error
254
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
255
    instr_il      : std_ulogic; -- illegal instruction
256 71 zero_gravi
    env_call      : std_ulogic; -- ecall instruction
257
    break_point   : std_ulogic; -- ebreak instruction
258 6 zero_gravi
  end record;
259
  signal trap_ctrl : trap_ctrl_t;
260
 
261 40 zero_gravi
  -- CPU main control bus --
262 6 zero_gravi
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
263 2 zero_gravi
 
264 40 zero_gravi
  -- fast instruction fetch access --
265 6 zero_gravi
  signal bus_fast_ir : std_ulogic;
266 2 zero_gravi
 
267 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
268 42 zero_gravi
  type pmp_ctrl_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
269
  type pmp_addr_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
270
  type pmp_ctrl_rd_t  is array (0 to 63) of std_ulogic_vector(7 downto 0);
271
  type mhpmevent_t    is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
272 61 zero_gravi
  type mhpmcnt_t      is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(31 downto 0);
273
  type mhpmcnt_nxt_t  is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(32 downto 0);
274
  type mhpmcnt_ovfl_t is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(0 downto 0);
275 56 zero_gravi
  type mhpmcnt_rd_t   is array (0 to 29) of std_ulogic_vector(31 downto 0);
276 6 zero_gravi
  type csr_t is record
277 42 zero_gravi
    addr              : std_ulogic_vector(11 downto 0); -- csr address
278
    we                : std_ulogic; -- csr write enable
279
    we_nxt            : std_ulogic;
280
    wdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
281
    rdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
282 29 zero_gravi
    --
283 42 zero_gravi
    mstatus_mie       : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
284
    mstatus_mpie      : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W)
285
    mstatus_mpp       : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
286 29 zero_gravi
    --
287 42 zero_gravi
    mie_msie          : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
288
    mie_meie          : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
289
    mie_mtie          : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W)
290 48 zero_gravi
    mie_firqe         : std_ulogic_vector(15 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
291 29 zero_gravi
    --
292 69 zero_gravi
    mip_clr           : std_ulogic_vector(15 downto 0); -- clear pending FIRQ
293
    --
294 42 zero_gravi
    mcounteren_cy     : std_ulogic; -- mcounteren.cy: allow cycle[h] access from user-mode
295
    mcounteren_tm     : std_ulogic; -- mcounteren.tm: allow time[h] access from user-mode
296
    mcounteren_ir     : std_ulogic; -- mcounteren.ir: allow instret[h] access from user-mode
297 29 zero_gravi
    --
298 42 zero_gravi
    mcountinhibit_cy  : std_ulogic; -- mcounterinhibit.cy: enable auto-increment for [m]cycle[h]
299
    mcountinhibit_ir  : std_ulogic; -- mcounterinhibit.ir: enable auto-increment for [m]instret[h]
300
    mcountinhibit_hpm : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounterinhibit.hpm3: enable auto-increment for mhpmcounterx[h]
301 40 zero_gravi
    --
302 42 zero_gravi
    privilege         : std_ulogic_vector(1 downto 0); -- hart's current privilege mode
303 59 zero_gravi
    privilege_rd      : std_ulogic_vector(1 downto 0); -- hart's current privilege mode (effective)
304 42 zero_gravi
    priv_m_mode       : std_ulogic; -- CPU in M-mode
305
    priv_u_mode       : std_ulogic; -- CPU in u-mode
306 41 zero_gravi
    --
307 42 zero_gravi
    mepc              : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
308 49 zero_gravi
    mcause            : std_ulogic_vector(5 downto 0); -- mcause: machine trap cause (R/W)
309 42 zero_gravi
    mtvec             : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
310 49 zero_gravi
    mtval             : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or instruction (R/W)
311 42 zero_gravi
    --
312
    mhpmevent         : mhpmevent_t; -- mhpmevent*: machine performance-monitoring event selector (R/W)
313
    --
314
    mscratch          : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
315 56 zero_gravi
    --
316 61 zero_gravi
    mcycle            : std_ulogic_vector(31 downto 0); -- mcycle (R/W)
317
    mcycle_nxt        : std_ulogic_vector(32 downto 0);
318
    mcycle_ovfl       : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow
319 60 zero_gravi
    mcycleh           : std_ulogic_vector(31 downto 0); -- mcycleh (R/W)
320 61 zero_gravi
    minstret          : std_ulogic_vector(31 downto 0); -- minstret (R/W)
321
    minstret_nxt      : std_ulogic_vector(32 downto 0);
322
    minstret_ovfl     : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow
323 42 zero_gravi
    minstreth         : std_ulogic_vector(31 downto 0); -- minstreth (R/W)
324
    --
325
    mhpmcounter       : mhpmcnt_t; -- mhpmcounter* (R/W), plus carry bit
326 61 zero_gravi
    mhpmcounter_nxt   : mhpmcnt_nxt_t;
327
    mhpmcounter_ovfl  : mhpmcnt_ovfl_t; -- counter low-to-high-word overflow
328
    mhpmcounterh      : mhpmcnt_t; -- mhpmcounter*h (R/W)
329 42 zero_gravi
    mhpmcounter_rd    : mhpmcnt_rd_t; -- mhpmcounter* (R/W): actual read data
330 61 zero_gravi
    mhpmcounterh_rd   : mhpmcnt_rd_t; -- mhpmcounter*h (R/W): actual read data
331 42 zero_gravi
    --
332
    pmpcfg            : pmp_ctrl_t; -- physical memory protection - configuration registers
333
    pmpcfg_rd         : pmp_ctrl_rd_t; -- physical memory protection - actual read data
334
    pmpaddr           : pmp_addr_t; -- physical memory protection - address registers
335 52 zero_gravi
    --
336
    frm               : std_ulogic_vector(02 downto 0); -- frm (R/W): FPU rounding mode
337
    fflags            : std_ulogic_vector(04 downto 0); -- fflags (R/W): FPU exception flags
338 59 zero_gravi
    --
339
    dcsr_ebreakm      : std_ulogic; -- dcsr.ebreakm (R/W): behavior of ebreak instruction on m-mode
340
    dcsr_ebreaku      : std_ulogic; -- dcsr.ebreaku (R/W): behavior of ebreak instruction on u-mode
341
    dcsr_step         : std_ulogic; -- dcsr.step (R/W): single-step mode
342
    dcsr_prv          : std_ulogic_vector(01 downto 0); -- dcsr.prv (R/W): current privilege level when entering debug mode
343
    dcsr_cause        : std_ulogic_vector(02 downto 0); -- dcsr.cause (R/-): why was debug mode entered
344
    dcsr_rd           : std_ulogic_vector(data_width_c-1 downto 0); -- dcsr (R/(W)): debug mode control and status register
345
    dpc               : std_ulogic_vector(data_width_c-1 downto 0); -- dpc (R/W): debug mode program counter
346
    dscratch0         : std_ulogic_vector(data_width_c-1 downto 0); -- dscratch0 (R/W): debug mode scratch register 0
347 6 zero_gravi
  end record;
348
  signal csr : csr_t;
349 2 zero_gravi
 
350 59 zero_gravi
  -- debug mode controller --
351
  type debug_ctrl_state_t is (DEBUG_OFFLINE, DEBUG_PENDING, DEBUG_ONLINE, DEBUG_EXIT);
352
  type debug_ctrl_t is record
353
    state        : debug_ctrl_state_t;
354
    -- decoded state --
355
    running      : std_ulogic; -- debug mode active
356
    pending      : std_ulogic; -- waiting to start debug mode
357
    -- entering triggers --
358
    trig_break   : std_ulogic; -- ebreak instruction
359
    trig_halt    : std_ulogic; -- external request
360
    trig_step    : std_ulogic; -- single-stepping mode
361
    -- leave debug mode --
362
    dret         : std_ulogic; -- executed DRET instruction
363
    -- misc --
364 64 zero_gravi
    ext_halt_req : std_ulogic;
365 59 zero_gravi
  end record;
366
  signal debug_ctrl : debug_ctrl_t;
367
 
368 42 zero_gravi
  -- (hpm) counter events --
369 68 zero_gravi
  signal cnt_event      : std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
370
  signal hpmcnt_trigger : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0);
371 42 zero_gravi
 
372 6 zero_gravi
  -- illegal instruction check --
373 66 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- opcode != rv32
374 2 zero_gravi
  signal illegal_instruction : std_ulogic;
375 66 zero_gravi
  signal illegal_register    : std_ulogic; -- illegal register (>x15) - E-extension
376
  signal illegal_compressed  : std_ulogic; -- illegal compressed instruction - C-extension
377 2 zero_gravi
 
378 15 zero_gravi
  -- access (privilege) check --
379
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
380
 
381 2 zero_gravi
begin
382
 
383 6 zero_gravi
-- ****************************************************************************************************************************
384 56 zero_gravi
-- Instruction Fetch (always fetch 32-bit-aligned 32-bit chunks of data)
385 6 zero_gravi
-- ****************************************************************************************************************************
386
 
387
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
388
  -- -------------------------------------------------------------------------------------------
389 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
390 6 zero_gravi
  begin
391
    if (rstn_i = '0') then
392 57 zero_gravi
      fetch_engine.state      <= IFETCH_REQUEST;
393
      fetch_engine.state_prev <= IFETCH_REQUEST;
394
      fetch_engine.restart    <= '1';
395 56 zero_gravi
      fetch_engine.pc         <= (others => def_rst_val_c);
396 6 zero_gravi
    elsif rising_edge(clk_i) then
397 57 zero_gravi
      fetch_engine.state      <= fetch_engine.state_nxt;
398
      fetch_engine.state_prev <= fetch_engine.state;
399 69 zero_gravi
      fetch_engine.restart    <= fetch_engine.restart_nxt or fetch_engine.reset;
400 70 zero_gravi
      if (fetch_engine.restart = '1') and (fetch_engine.state = IFETCH_REQUEST) then -- only update PC if no fetch request is pending
401 57 zero_gravi
        fetch_engine.pc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
402 6 zero_gravi
      else
403 57 zero_gravi
        fetch_engine.pc <= fetch_engine.pc_nxt;
404 6 zero_gravi
      end if;
405
    end if;
406
  end process fetch_engine_fsm_sync;
407
 
408 12 zero_gravi
  -- PC output --
409 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
410 6 zero_gravi
 
411 12 zero_gravi
 
412 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
413
  -- -------------------------------------------------------------------------------------------
414 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
415 6 zero_gravi
  begin
416
    -- arbiter defaults --
417 31 zero_gravi
    bus_fast_ir              <= '0';
418
    fetch_engine.state_nxt   <= fetch_engine.state;
419
    fetch_engine.pc_nxt      <= fetch_engine.pc;
420
    fetch_engine.bus_err_ack <= '0';
421 69 zero_gravi
    fetch_engine.restart_nxt <= fetch_engine.restart;
422 6 zero_gravi
 
423 69 zero_gravi
    -- instruction prefetch buffer defaults --
424 6 zero_gravi
    ipb.we    <= '0';
425 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
426 70 zero_gravi
    ipb.clear <= fetch_engine.restart; -- clear instruction buffer while being reset
427 6 zero_gravi
 
428
    -- state machine --
429 71 zero_gravi
    if (fetch_engine.state = IFETCH_REQUEST) then -- IFETCH_REQUEST: request new 32-bit-aligned instruction word
430
    -- ------------------------------------------------------------
431
      if (ipb.free = '1') and (fetch_engine.restart = '0') then -- free entry in buffer AND no reset request?
432
        bus_fast_ir            <= '1'; -- fast instruction fetch request
433
        fetch_engine.state_nxt <= IFETCH_ISSUE;
434
      end if;
435
      fetch_engine.restart_nxt <= '0';
436 6 zero_gravi
 
437 71 zero_gravi
    else -- IFETCH_ISSUE: store instruction data to prefetch buffer
438
    -- ------------------------------------------------------------
439
      fetch_engine.bus_err_ack <= be_instr_i or ma_instr_i; -- ACK bus/alignment errors
440
      if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
441
        fetch_engine.pc_nxt    <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
442
        ipb.we                 <= not fetch_engine.restart; -- write to IPB if not being reset
443 57 zero_gravi
        fetch_engine.state_nxt <= IFETCH_REQUEST;
444 71 zero_gravi
      end if;
445 6 zero_gravi
 
446 71 zero_gravi
    end if;
447 6 zero_gravi
  end process fetch_engine_fsm_comb;
448
 
449
 
450
-- ****************************************************************************************************************************
451
-- Instruction Prefetch Buffer
452
-- ****************************************************************************************************************************
453
 
454 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
455 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
456 61 zero_gravi
  instr_prefetch_buffer: neorv32_fifo
457
  generic map (
458 62 zero_gravi
    FIFO_DEPTH => CPU_IPB_ENTRIES,  -- number of fifo entries; has to be a power of two; min 1
459 61 zero_gravi
    FIFO_WIDTH => ipb.wdata'length, -- size of data elements in fifo
460
    FIFO_RSYNC => false,            -- we NEED to read data asynchronously
461
    FIFO_SAFE  => false             -- no safe access required (ensured by FIFO-external control)
462
  )
463
  port map (
464
    -- control --
465
    clk_i   => clk_i,     -- clock, rising edge
466
    rstn_i  => '1',       -- async reset, low-active
467
    clear_i => ipb.clear, -- sync reset, high-active
468 65 zero_gravi
    level_o => open,
469
    half_o  => open,
470 61 zero_gravi
    -- write port --
471
    wdata_i => ipb.wdata, -- write data
472
    we_i    => ipb.we,    -- write enable
473
    free_o  => ipb.free,  -- at least one entry is free when set
474
    -- read port --
475
    re_i    => ipb.re,    -- read enable
476
    rdata_o => ipb.rdata, -- read data
477
    avail_o => ipb.avail  -- data available when set
478
  );
479 20 zero_gravi
 
480 56 zero_gravi
 
481 6 zero_gravi
-- ****************************************************************************************************************************
482 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
483
-- ****************************************************************************************************************************
484
 
485
  -- Issue Engine FSM Sync ------------------------------------------------------------------
486
  -- -------------------------------------------------------------------------------------------
487
  issue_engine_fsm_sync: process(rstn_i, clk_i)
488
  begin
489 71 zero_gravi
    if (rstn_i = '0') then -- always start aligned after reset
490
      issue_engine.align   <= '0';
491
      issue_engine.realign <= '0';
492
      issue_engine.buf     <= (others => def_rst_val_c);
493 31 zero_gravi
    elsif rising_edge(clk_i) then
494
      if (ipb.clear = '1') then
495 68 zero_gravi
        if (CPU_EXTENSION_RISCV_C = true) and (execute_engine.pc(1) = '1') then -- branch to unaligned address?
496 71 zero_gravi
          issue_engine.align   <= '1'; -- aligned on 16-bit boundary
497
          issue_engine.realign <= '1';
498 31 zero_gravi
        else
499 71 zero_gravi
          issue_engine.align   <= '0'; -- aligned on 32-bit boundary
500
          issue_engine.realign <= '0';
501 31 zero_gravi
        end if;
502
      else
503 71 zero_gravi
        issue_engine.align   <= issue_engine.align_nxt;
504
        issue_engine.realign <= issue_engine.realign_nxt;
505 31 zero_gravi
      end if;
506
      issue_engine.buf <= issue_engine.buf_nxt;
507
    end if;
508
  end process issue_engine_fsm_sync;
509
 
510
 
511
  -- Issue Engine FSM Comb ------------------------------------------------------------------
512
  -- -------------------------------------------------------------------------------------------
513 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
514 31 zero_gravi
  begin
515
    -- arbiter defaults --
516 71 zero_gravi
    issue_engine.realign_nxt <= issue_engine.realign;
517
    issue_engine.align_nxt   <= issue_engine.align;
518
    issue_engine.buf_nxt     <= issue_engine.buf;
519 31 zero_gravi
 
520
    -- instruction prefetch buffer interface defaults --
521
    ipb.re <= '0';
522
 
523 37 zero_gravi
    -- instruction issue interface defaults --
524
    cmd_issue.valid <= '0';
525 31 zero_gravi
 
526 71 zero_gravi
 
527
    -- construct instruction data --
528
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
529
    if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- 32-bit aligned
530
      if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed
531
        cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
532
      else -- compressed
533
        cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
534
      end if;
535
    else -- not 32-bit aligned
536
      if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed
537
        cmd_issue.data <= '0' & (ipb.rdata(33 downto 32) or issue_engine.buf(17 downto 16)) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
538
      else -- compressed
539
        cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
540
      end if;
541
    end if;
542
 
543
 
544
    -- store high half-word - we might need it for an unaligned uncompressed instruction --
545
    if (execute_engine.state = DISPATCH) and (ipb.avail = '1') and (CPU_EXTENSION_RISCV_C = true) then
546
      issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
547
    end if;
548
 
549
 
550 31 zero_gravi
    -- state machine --
551 71 zero_gravi
    if (ipb.avail = '1') then -- instruction data available?
552 31 zero_gravi
 
553 71 zero_gravi
      if (issue_engine.realign = '0') then -- issue instruction if available
554 31 zero_gravi
      -- ------------------------------------------------------------
555 71 zero_gravi
        cmd_issue.valid <= '1';
556
        if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
557
          if (execute_engine.state = DISPATCH) then -- ready to issue new command?
558
            ipb.re <= '1';
559
            if (ipb.rdata(1 downto 0) /= "11") and (CPU_EXTENSION_RISCV_C = true) then -- compressed
560
              issue_engine.align_nxt <= '1';
561 31 zero_gravi
            end if;
562 71 zero_gravi
          end if;
563
        else -- begin check in HIGH instruction half-word
564
          if (execute_engine.state = DISPATCH) then -- ready to issue new command?
565
            if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and unaligned
566
              ipb.re <= '1';
567
            else -- compressed - do not read from ipb here!
568
              issue_engine.align_nxt <= '0';
569 31 zero_gravi
            end if;
570
          end if;
571
        end if;
572
 
573 71 zero_gravi
      else -- re-align input fifo and half-word buffer after a branch to an unaligned address
574 31 zero_gravi
      -- ------------------------------------------------------------
575 71 zero_gravi
        ipb.re <= '1';
576
        issue_engine.realign_nxt <= '0';
577
      end if;
578 31 zero_gravi
 
579 71 zero_gravi
    end if;
580 31 zero_gravi
  end process issue_engine_fsm_comb;
581
 
582 41 zero_gravi
  -- 16-bit instructions: half-word select --
583 31 zero_gravi
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
584
 
585
 
586
  -- Compressed Instructions Recoding -------------------------------------------------------
587
  -- -------------------------------------------------------------------------------------------
588
  neorv32_cpu_decompressor_inst_true:
589
  if (CPU_EXTENSION_RISCV_C = true) generate
590
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
591
    port map (
592
      -- instruction input --
593
      ci_instr16_i => ci_instr16, -- compressed instruction input
594
      -- instruction output --
595
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
596
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
597
    );
598
  end generate;
599
 
600
  neorv32_cpu_decompressor_inst_false:
601
  if (CPU_EXTENSION_RISCV_C = false) generate
602
    ci_instr32 <= (others => '0');
603
    ci_illegal <= '0';
604
  end generate;
605
 
606
 
607
-- ****************************************************************************************************************************
608 6 zero_gravi
-- Instruction Execution
609
-- ****************************************************************************************************************************
610
 
611 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
612
  -- -------------------------------------------------------------------------------------------
613 62 zero_gravi
  imm_gen: process(rstn_i, clk_i)
614 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
615 2 zero_gravi
  begin
616 56 zero_gravi
    if (rstn_i = '0') then
617
      imm_o <= (others => def_rst_val_c);
618
    elsif rising_edge(clk_i) then
619 68 zero_gravi
      -- default: I-immediate: ALU-immediate, loads, jump-and-link with registers
620
      imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
621
      imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
622
      imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
623
      imm_o(00)           <= execute_engine.i_reg(20);
624
 
625
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
626
      case opcode_v is -- save some bits here, the two LSBs are always "11" for rv32
627
        when opcode_store_c => -- S-immediate: store
628
          imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
629
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
630
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
631
          imm_o(00)           <= execute_engine.i_reg(07);
632
        when opcode_branch_c => -- B-immediate: conditional branches
633
          imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
634
          imm_o(11)           <= execute_engine.i_reg(07);
635
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
636
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
637
          imm_o(00)           <= '0';
638
        when opcode_lui_c | opcode_auipc_c => -- U-immediate: lui, auipc
639
          imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
640
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
641
          imm_o(11 downto 00) <= (others => '0');
642
        when opcode_jal_c => -- J-immediate: unconditional jumps
643
          imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
644
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
645
          imm_o(11)           <= execute_engine.i_reg(20);
646
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
647
          imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
648
          imm_o(00)           <= '0';
649
        when opcode_atomic_c => -- atomic memory access and everything else
650
          if (CPU_EXTENSION_RISCV_A = true) then
651
            imm_o <= (others => '0'); -- effective address is addr = reg + 0 = reg
652
          else
653
            NULL; -- use default
654
          end if;
655
        when others => -- I-immediate
656
          NULL; -- use default
657
      end case;
658 2 zero_gravi
    end if;
659
  end process imm_gen;
660
 
661
 
662
  -- Branch Condition Check -----------------------------------------------------------------
663
  -- -------------------------------------------------------------------------------------------
664 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
665 2 zero_gravi
  begin
666 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
667 2 zero_gravi
      when funct3_beq_c => -- branch if equal
668 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_equal_c);
669 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
670 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_equal_c);
671 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
672 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_less_c);
673 71 zero_gravi
      when others => -- branch if greater or equal (signed/unsigned), invalid funct3 are checked by illegal instr. logic
674 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_less_c);
675 2 zero_gravi
    end case;
676
  end process branch_check;
677
 
678
 
679 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
680 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
681 56 zero_gravi
  execute_engine_fsm_sync: process(rstn_i, clk_i)
682 2 zero_gravi
  begin
683
    if (rstn_i = '0') then
684 56 zero_gravi
      -- registers that DO require a specific reset state --
685 68 zero_gravi
      execute_engine.pc         <= CPU_BOOT_ADDR(data_width_c-1 downto 2) & "00"; -- 32-bit aligned!
686
      execute_engine.state      <= SYS_WAIT;
687
      execute_engine.sleep      <= '0';
688
      execute_engine.branched   <= '1'; -- reset is a branch from "somewhere"
689 57 zero_gravi
      -- no dedicated RESET required --
690 62 zero_gravi
      execute_engine.state_prev <= SYS_WAIT; -- actual reset value is not relevant
691 56 zero_gravi
      execute_engine.i_reg      <= (others => def_rst_val_c);
692
      execute_engine.is_ci      <= def_rst_val_c;
693 66 zero_gravi
      execute_engine.is_ici     <= def_rst_val_c;
694 56 zero_gravi
      execute_engine.last_pc    <= (others => def_rst_val_c);
695
      execute_engine.i_reg_last <= (others => def_rst_val_c);
696
      execute_engine.next_pc    <= (others => def_rst_val_c);
697
      ctrl                      <= (others => def_rst_val_c);
698
      ctrl(ctrl_bus_rd_c)       <= '0';
699
      ctrl(ctrl_bus_wr_c)       <= '0';
700 2 zero_gravi
    elsif rising_edge(clk_i) then
701 39 zero_gravi
      -- PC update --
702
      if (execute_engine.pc_we = '1') then
703 49 zero_gravi
        if (execute_engine.pc_mux_sel = '0') then
704 58 zero_gravi
          execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment OR trap enter/exit
705 49 zero_gravi
        else
706
          execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/taken_branch
707
        end if;
708 39 zero_gravi
      end if;
709 68 zero_gravi
 
710
      execute_engine.state      <= execute_engine.state_nxt;
711 42 zero_gravi
      execute_engine.state_prev <= execute_engine.state;
712 68 zero_gravi
      execute_engine.sleep      <= execute_engine.sleep_nxt;
713
      execute_engine.branched   <= execute_engine.branched_nxt;
714 42 zero_gravi
      execute_engine.i_reg      <= execute_engine.i_reg_nxt;
715
      execute_engine.is_ci      <= execute_engine.is_ci_nxt;
716 66 zero_gravi
      execute_engine.is_ici     <= execute_engine.is_ici_nxt;
717 59 zero_gravi
 
718 66 zero_gravi
      -- PC & IR of "last executed" instruction for trap handling --
719 40 zero_gravi
      if (execute_engine.state = EXECUTE) then
720
        execute_engine.last_pc    <= execute_engine.pc;
721 39 zero_gravi
        execute_engine.i_reg_last <= execute_engine.i_reg;
722
      end if;
723 59 zero_gravi
 
724 70 zero_gravi
      -- next PC logic --
725 49 zero_gravi
      case execute_engine.state is
726 68 zero_gravi
        when TRAP_ENTER => -- ENTERING trap environment
727 59 zero_gravi
          if (CPU_EXTENSION_RISCV_DEBUG = false) then -- normal trapping
728
            execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
729
          else -- DEBUG MODE enabled
730
            if (trap_ctrl.cause(5) = '1') then -- trap cause: debug mode (re-)entry
731
              execute_engine.next_pc <= CPU_DEBUG_ADDR; -- debug mode enter; start at "parking loop" <normal_entry>
732
            elsif (debug_ctrl.running = '1') then -- any other exception INSIDE debug mode
733
              execute_engine.next_pc <= std_ulogic_vector(unsigned(CPU_DEBUG_ADDR) + 4); -- execute at "parking loop" <exception_entry>
734
            else -- normal trapping
735
              execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
736
            end if;
737
          end if;
738 68 zero_gravi
        when TRAP_EXIT => -- LEAVING trap environment
739 59 zero_gravi
          if (CPU_EXTENSION_RISCV_DEBUG = false) or (debug_ctrl.running = '0') then -- normal end of trap
740
            execute_engine.next_pc <= csr.mepc(data_width_c-1 downto 1) & '0'; -- trap exit
741
          else -- DEBUG MODE exiting
742
            execute_engine.next_pc <= csr.dpc(data_width_c-1 downto 1) & '0'; -- debug mode exit
743
          end if;
744 68 zero_gravi
        when EXECUTE => -- NORMAL pc increment
745 59 zero_gravi
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + unsigned(execute_engine.next_pc_inc)); -- next linear PC
746
        when others =>
747
          NULL;
748 49 zero_gravi
      end case;
749 59 zero_gravi
 
750 39 zero_gravi
      -- main control bus --
751 6 zero_gravi
      ctrl <= ctrl_nxt;
752 2 zero_gravi
    end if;
753 6 zero_gravi
  end process execute_engine_fsm_sync;
754 2 zero_gravi
 
755 56 zero_gravi
 
756 49 zero_gravi
  -- PC increment for next linear instruction (+2 for compressed instr., +4 otherwise) --
757
  execute_engine.next_pc_inc <= x"00000004" when ((execute_engine.is_ci = '0') or (CPU_EXTENSION_RISCV_C = false)) else x"00000002";
758 41 zero_gravi
 
759 20 zero_gravi
  -- PC output --
760 68 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1)      & '0'; -- current PC for ALU ops
761
  next_pc_o <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- next PC for ALU ops
762 6 zero_gravi
 
763 49 zero_gravi
  -- CSR access address --
764
  csr.addr <= execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c);
765 20 zero_gravi
 
766 49 zero_gravi
 
767 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
768
  -- -------------------------------------------------------------------------------------------
769 60 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, bus_fast_ir, execute_engine, csr, debug_ctrl)
770 2 zero_gravi
  begin
771 36 zero_gravi
    -- signals from execute engine --
772 2 zero_gravi
    ctrl_o <= ctrl;
773 65 zero_gravi
    -- prevent commits if illegal instruction --
774
    ctrl_o(ctrl_rf_wb_en_c) <= ctrl(ctrl_rf_wb_en_c) and (not trap_ctrl.exc_buf(exception_iillegal_c));
775
    ctrl_o(ctrl_bus_rd_c)   <= ctrl(ctrl_bus_rd_c)   and (not trap_ctrl.exc_buf(exception_iillegal_c));
776
    ctrl_o(ctrl_bus_wr_c)   <= ctrl(ctrl_bus_wr_c)   and (not trap_ctrl.exc_buf(exception_iillegal_c));
777 36 zero_gravi
    -- current privilege level --
778 59 zero_gravi
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege_rd;
779 36 zero_gravi
    -- register addresses --
780 40 zero_gravi
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
781
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
782
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
783 69 zero_gravi
    -- instruction fetch request --
784 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
785 12 zero_gravi
    -- bus error control --
786 47 zero_gravi
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack; -- instruction fetch bus access error ACK
787
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack; -- data access bus error access ACK
788
    -- memory access size / sign --
789
    ctrl_o(ctrl_bus_unsigned_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
790
    ctrl_o(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
791
    -- alu.shifter --
792
    ctrl_o(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
793
    ctrl_o(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
794 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
795 44 zero_gravi
    ctrl_o(ctrl_ir_opcode7_6_c  downto ctrl_ir_opcode7_0_c) <= execute_engine.i_reg(instr_opcode_msb_c  downto instr_opcode_lsb_c);
796 36 zero_gravi
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
797
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
798 47 zero_gravi
    -- cpu status --
799 64 zero_gravi
    ctrl_o(ctrl_sleep_c)         <= execute_engine.sleep; -- cpu is in sleep mode
800
    ctrl_o(ctrl_trap_c)          <= trap_ctrl.env_start_ack; -- cpu is starting a trap handler
801
    ctrl_o(ctrl_debug_running_c) <= debug_ctrl.running; -- cpu is currently in debug mode
802 61 zero_gravi
    -- FPU rounding mode --
803
    ctrl_o(ctrl_alu_frm2_c downto ctrl_alu_frm0_c) <= csr.frm;
804 6 zero_gravi
  end process ctrl_output;
805 2 zero_gravi
 
806
 
807 44 zero_gravi
  -- Decoding Helper Logic ------------------------------------------------------------------
808
  -- -------------------------------------------------------------------------------------------
809
  decode_helper: process(execute_engine)
810 49 zero_gravi
    variable sys_env_cmd_mask_v : std_ulogic_vector(11 downto 0);
811 44 zero_gravi
  begin
812
    -- defaults --
813 71 zero_gravi
    decode_aux.is_a_lr  <= '0';
814
    decode_aux.is_a_sc  <= '0';
815
    decode_aux.is_f_op  <= '0';
816
    decode_aux.is_m_mul <= '0';
817
    decode_aux.is_m_div <= '0';
818
    decode_aux.is_b_imm <= '0';
819
    decode_aux.is_b_reg <= '0';
820
    decode_aux.rs1_zero <= '0';
821
    decode_aux.rs2_zero <= '0';
822
    decode_aux.rd_zero  <= '0';
823 44 zero_gravi
 
824
    -- is atomic load-reservate/store-conditional? --
825 68 zero_gravi
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') then -- valid atomic sub-opcode
826 71 zero_gravi
      decode_aux.is_a_lr <= not execute_engine.i_reg(instr_funct5_lsb_c);
827
      decode_aux.is_a_sc <=     execute_engine.i_reg(instr_funct5_lsb_c);
828 44 zero_gravi
    end if;
829
 
830 63 zero_gravi
    -- is BITMANIP instruction? --
831
    -- pretty complex as we have to extract this from the ALU/ALUI instruction space --
832
    -- immediate operation --
833
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001") and
834
         (
835
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00000") or -- CLZ
836
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00001") or -- CTZ
837
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00010") or -- CPOP
838
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00100") or -- SEXT.B
839
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00101")    -- SEXT.H
840
         )
841
       ) or
842
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- RORI
843
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00111")) or -- ORCB
844 71 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- REV8
845
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- BCLRI
846
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- BEXTI
847
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- BINVI
848
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) then -- BSETI
849
      decode_aux.is_b_imm <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- BITMANIP implemented at all?
850 63 zero_gravi
    end if;
851
    -- register operation --
852
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c-1 downto instr_funct3_lsb_c) = "01")) or -- ROR / ROL
853
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c) = '1')) or -- MIN[U] / MAX[U]
854
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")) or -- ZEXTH
855 71 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- BCLR
856
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- BEXT
857
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- BINV
858
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- BSET
859
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- CLMUL
860
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "011")) or -- CLMULH
861
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "010")) or -- CLMULR
862 63 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100000") and
863
        (
864
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "111") or -- ANDN
865
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110") or -- ORN
866
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")    -- XORN
867
        )
868 66 zero_gravi
       ) or
869
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010000") and
870
        (
871
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "010") or -- SH1ADD
872
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100") or -- SH2ADD
873
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110")    -- SH3ADD
874
        )
875 63 zero_gravi
       ) then
876 71 zero_gravi
      decode_aux.is_b_reg <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- BITMANIP implemented at all?
877 63 zero_gravi
    end if;
878
 
879 53 zero_gravi
    -- floating-point operations (Zfinx) --
880
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+3) = "0000")) or -- FADD.S / FSUB.S
881 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00010")) or -- FMUL.S
882 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- FCLASS.S
883 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FSGNJ[N/X].S
884
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_msb_c-1) = "00")) or -- FMIN.S / FMAX.S
885
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "10100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FEQ.S / FLT.S / FLE.S
886 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11010") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) or -- FCVT.S.W*
887 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11000") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) then -- FCVT.W*.S
888 71 zero_gravi
      decode_aux.is_f_op <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- FPU implemented at all?
889 52 zero_gravi
    end if;
890
 
891 49 zero_gravi
    -- system/environment instructions --
892 59 zero_gravi
    sys_env_cmd_mask_v := funct12_ecall_c or funct12_ebreak_c or funct12_mret_c or funct12_wfi_c or funct12_dret_c; -- sum-up set bits
893 60 zero_gravi
    decode_aux.sys_env_cmd <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) and sys_env_cmd_mask_v; -- set unused bits to always-zero
894 61 zero_gravi
 
895
    -- integer MUL (M/Zmmul) / DIV (M) operation --
896
    if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and
897
       (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then
898 68 zero_gravi
      decode_aux.is_m_mul <= (not execute_engine.i_reg(instr_funct3_msb_c)) and (bool_to_ulogic_f(CPU_EXTENSION_RISCV_M) or bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zmmul));
899
      decode_aux.is_m_div <= execute_engine.i_reg(instr_funct3_msb_c) and bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);
900 61 zero_gravi
    end if;
901 68 zero_gravi
 
902
    -- register address checks --
903
    decode_aux.rs1_zero <= not or_reduce_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
904
    decode_aux.rs2_zero <= not or_reduce_f(execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c));
905
    decode_aux.rd_zero  <= not or_reduce_f(execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c));
906 44 zero_gravi
  end process decode_helper;
907
 
908
 
909 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
910
  -- -------------------------------------------------------------------------------------------
911 61 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, debug_ctrl, trap_ctrl, decode_aux, fetch_engine, cmd_issue,
912 65 zero_gravi
                                   csr, ctrl, alu_idone_i, bus_d_wait_i, excl_state_i)
913 44 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
914 2 zero_gravi
  begin
915
    -- arbiter defaults --
916 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
917
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
918
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
919 66 zero_gravi
    execute_engine.is_ici_nxt   <= '0';
920 29 zero_gravi
    execute_engine.sleep_nxt    <= execute_engine.sleep;
921 49 zero_gravi
    execute_engine.branched_nxt <= execute_engine.branched;
922 39 zero_gravi
    --
923 49 zero_gravi
    execute_engine.pc_mux_sel   <= '0';
924 39 zero_gravi
    execute_engine.pc_we        <= '0';
925 2 zero_gravi
 
926 6 zero_gravi
    -- instruction dispatch --
927 37 zero_gravi
    fetch_engine.reset          <= '0';
928 2 zero_gravi
 
929 6 zero_gravi
    -- trap environment control --
930 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
931
    trap_ctrl.env_end           <= '0';
932 6 zero_gravi
 
933 59 zero_gravi
    -- leave debug mode --
934
    debug_ctrl.dret             <= '0';
935
 
936 2 zero_gravi
    -- exception trigger --
937 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
938
    trap_ctrl.instr_ma          <= '0';
939
    trap_ctrl.env_call          <= '0';
940
    trap_ctrl.break_point       <= '0';
941 2 zero_gravi
 
942 6 zero_gravi
    -- CSR access --
943 37 zero_gravi
    csr.we_nxt                  <= '0';
944 6 zero_gravi
 
945 39 zero_gravi
    -- CONTROL DEFAULTS --
946 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
947 47 zero_gravi
    -- ALU main control --
948 68 zero_gravi
    ctrl_nxt(ctrl_alu_op2_c   downto ctrl_alu_op0_c)   <= alu_op_add_c;    -- default ALU operation: ADD
949
    ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_core_c; -- default ALU operation: ADD
950 47 zero_gravi
    -- ALU sign control --
951 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
952 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
953 2 zero_gravi
    else -- branches
954 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
955 2 zero_gravi
    end if;
956 68 zero_gravi
    -- atomic store-conditional instruction (evaluate lock status) --
957 71 zero_gravi
    ctrl_nxt(ctrl_bus_ch_lock_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A) and decode_aux.is_a_sc;
958 2 zero_gravi
 
959
 
960 6 zero_gravi
    -- state machine --
961
    case execute_engine.state is
962 2 zero_gravi
 
963 62 zero_gravi
      when SYS_WAIT => -- System delay cycle (to let side effects kick in)
964 2 zero_gravi
      -- ------------------------------------------------------------
965 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
966 2 zero_gravi
 
967 39 zero_gravi
 
968 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
969 25 zero_gravi
      -- ------------------------------------------------------------
970 49 zero_gravi
        -- PC update --
971
        execute_engine.pc_mux_sel <= '0'; -- linear next PC
972 40 zero_gravi
        -- IR update --
973 49 zero_gravi
        execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction
974
        execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0);
975 40 zero_gravi
        --
976 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
977 49 zero_gravi
          -- PC update --
978
          execute_engine.branched_nxt <= '0';
979
          execute_engine.pc_we        <= not execute_engine.branched; -- update PC with linear next_pc if there was no actual branch
980 40 zero_gravi
          -- IR update - exceptions --
981 68 zero_gravi
          trap_ctrl.instr_ma        <= cmd_issue.data(33) and (not bool_to_ulogic_f(CPU_EXTENSION_RISCV_C)); -- misaligned instruction fetch address, if C disabled
982 66 zero_gravi
          trap_ctrl.instr_be        <= cmd_issue.data(34); -- bus access fault during instruction fetch
983
          execute_engine.is_ici_nxt <= cmd_issue.data(35); -- invalid decompressed instruction
984 40 zero_gravi
          -- any reason to go to trap state? --
985 68 zero_gravi
          if (execute_engine.sleep = '1') or -- enter sleep state
986 66 zero_gravi
             (trap_ctrl.exc_fire = '1') or -- exception during LAST instruction (illegal instruction)
987 61 zero_gravi
             (trap_ctrl.env_start = '1') or -- pending trap (IRQ or exception)
988 68 zero_gravi
             ((cmd_issue.data(33) = '1') and (CPU_EXTENSION_RISCV_C = false)) or -- misaligned instruction fetch address, if C disabled
989
             (cmd_issue.data(34) = '1') then -- bus access fault during instruction fetch
990 49 zero_gravi
            execute_engine.state_nxt <= TRAP_ENTER;
991 13 zero_gravi
          else
992 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
993 13 zero_gravi
          end if;
994
        end if;
995 2 zero_gravi
 
996 39 zero_gravi
 
997 63 zero_gravi
      when TRAP_ENTER => -- Start trap environment - get xTVEC, stay here for sleep mode
998 2 zero_gravi
      -- ------------------------------------------------------------
999 34 zero_gravi
        if (trap_ctrl.env_start = '1') then -- trap triggered?
1000 61 zero_gravi
          trap_ctrl.env_start_ack  <= '1';
1001
          execute_engine.state_nxt <= TRAP_EXECUTE;
1002 2 zero_gravi
        end if;
1003
 
1004 68 zero_gravi
 
1005 63 zero_gravi
      when TRAP_EXIT => -- Return from trap environment - get xEPC
1006 49 zero_gravi
      -- ------------------------------------------------------------
1007
        trap_ctrl.env_end        <= '1';
1008
        execute_engine.state_nxt <= TRAP_EXECUTE;
1009 39 zero_gravi
 
1010 68 zero_gravi
 
1011
      when TRAP_EXECUTE => -- Process trap environment -> jump to xTVEC / return from trap environment -> jump to xEPC
1012 49 zero_gravi
      -- ------------------------------------------------------------
1013 60 zero_gravi
        execute_engine.pc_mux_sel <= '0'; -- next_PC
1014 49 zero_gravi
        fetch_engine.reset        <= '1';
1015
        execute_engine.pc_we      <= '1';
1016
        execute_engine.sleep_nxt  <= '0'; -- disable sleep mode
1017
        execute_engine.state_nxt  <= SYS_WAIT;
1018
 
1019
 
1020 60 zero_gravi
      when EXECUTE => -- Decode and execute instruction (control has to be here for exactly 1 cycle in any case!)
1021 2 zero_gravi
      -- ------------------------------------------------------------
1022 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
1023
        case opcode_v is
1024 2 zero_gravi
 
1025 60 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (register/immediate) ALU operation
1026 2 zero_gravi
          -- ------------------------------------------------------------
1027 68 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= not execute_engine.i_reg(instr_opcode_msb_c-1); -- use IMM as ALU.OPB for immediate operations
1028 25 zero_gravi
 
1029 68 zero_gravi
            -- ALU core operation --
1030 39 zero_gravi
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
1031 68 zero_gravi
              when funct3_subadd_c => -- ADD(I)/SUB
1032
                if ((execute_engine.i_reg(instr_opcode_msb_c-1) = '1') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) then -- not an immediate op and funct7.6 set => SUB
1033
                  ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_sub_c;
1034
                else
1035
                  ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_add_c;
1036
                end if;
1037
              when funct3_slt_c | funct3_sltu_c => -- SLT(I), SLTU(I)
1038
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_slt_c;
1039
              when funct3_xor_c => -- XOR(I)
1040
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_xor_c;
1041
              when funct3_or_c => -- OR(I)
1042
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_or_c;
1043
              when others => -- AND(I), multi-cycle / co-processor operations
1044
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_and_c;
1045 39 zero_gravi
            end case;
1046
 
1047 71 zero_gravi
            -- co-processor MULDIV operation (multi-cycle) --
1048 61 zero_gravi
            if ((CPU_EXTENSION_RISCV_M = true) and ((decode_aux.is_m_mul = '1') or (decode_aux.is_m_div = '1'))) or -- MUL/DIV
1049
               ((CPU_EXTENSION_RISCV_Zmmul = true) and (decode_aux.is_m_mul = '1')) then -- MUL
1050 44 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- use MULDIV CP
1051 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1052
              execute_engine.state_nxt                           <= ALU_WAIT;
1053 71 zero_gravi
            -- co-processor BIT-MANIPULATION operation (multi-cycle) --
1054 66 zero_gravi
            elsif (CPU_EXTENSION_RISCV_B = true) and
1055 71 zero_gravi
                  (((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_b_reg = '1')) or -- register operation
1056
                   ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_b_imm = '1'))) then -- immediate operation
1057 63 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_bitmanip_c; -- use BITMANIP CP
1058 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1059
              execute_engine.state_nxt                           <= ALU_WAIT;
1060 71 zero_gravi
            -- co-processor SHIFT operation (multi-cycle) --
1061 68 zero_gravi
            elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or
1062
                  (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) then
1063
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_shifter_c; -- use SHIFTER CP (only relevant for shift operations)
1064
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1065
              execute_engine.state_nxt                           <= ALU_WAIT;
1066 69 zero_gravi
            -- ALU CORE operation (single-cycle) --
1067 61 zero_gravi
            else
1068 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_core_c;
1069
              ctrl_nxt(ctrl_rf_wb_en_c)                          <= '1'; -- valid RF write-back
1070
              execute_engine.state_nxt                           <= DISPATCH;
1071 39 zero_gravi
            end if;
1072
 
1073 2 zero_gravi
 
1074 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
1075 2 zero_gravi
          -- ------------------------------------------------------------
1076 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
1077
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1078 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
1079 68 zero_gravi
              ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_movb_c; -- actual ALU operation = MOVB
1080 27 zero_gravi
            else -- AUIPC
1081 68 zero_gravi
              ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_add_c; -- actual ALU operation = ADD
1082 2 zero_gravi
            end if;
1083 68 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1084
            execute_engine.state_nxt  <= DISPATCH;
1085 2 zero_gravi
 
1086 68 zero_gravi
 
1087 53 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
1088 2 zero_gravi
          -- ------------------------------------------------------------
1089 66 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1090
            ctrl_nxt(ctrl_bus_mo_we_c)   <= '1'; -- write to MAR and MDO (MDO only relevant for store)
1091 68 zero_gravi
            execute_engine.state_nxt     <= LOADSTORE_0;
1092 2 zero_gravi
 
1093 68 zero_gravi
 
1094 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
1095 2 zero_gravi
          -- ------------------------------------------------------------
1096 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
1097
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
1098 49 zero_gravi
            else -- JAL
1099 29 zero_gravi
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
1100 2 zero_gravi
            end if;
1101 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
1102 49 zero_gravi
            execute_engine.state_nxt     <= BRANCH;
1103 2 zero_gravi
 
1104 68 zero_gravi
 
1105 8 zero_gravi
          when opcode_fence_c => -- fence operations
1106
          -- ------------------------------------------------------------
1107 68 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1108
              ctrl_nxt(ctrl_bus_fence_c)  <= '1';
1109
              execute_engine.state_nxt    <= SYS_WAIT;
1110 71 zero_gravi
            elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1111 68 zero_gravi
              ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1112
              execute_engine.branched_nxt <= '1'; -- this is an actual branch
1113
              execute_engine.state_nxt    <= TRAP_EXECUTE; -- use TRAP_EXECUTE to "modify" PC (PC <= PC)
1114
            else -- illegal fence instruction
1115
              execute_engine.state_nxt    <= SYS_WAIT;
1116 66 zero_gravi
            end if;
1117 8 zero_gravi
 
1118 68 zero_gravi
 
1119 53 zero_gravi
          when opcode_fop_c => -- floating-point operations
1120 52 zero_gravi
          -- ------------------------------------------------------------
1121 68 zero_gravi
            if (CPU_EXTENSION_RISCV_Zfinx = true) then
1122 61 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_fpu_c; -- trigger FPU CP
1123 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1124
              execute_engine.state_nxt <= ALU_WAIT;
1125 53 zero_gravi
            else
1126
              execute_engine.state_nxt <= SYS_WAIT;
1127 52 zero_gravi
            end if;
1128
 
1129 68 zero_gravi
 
1130 71 zero_gravi
          when others => -- system/csr access OR illegal opcode - nothing bad (= no commits) will happen here if there is an illegal opcode
1131 2 zero_gravi
          -- ------------------------------------------------------------
1132 71 zero_gravi
            if (CPU_EXTENSION_RISCV_Zicsr = true) then
1133
              if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
1134
                execute_engine.state_nxt <= SYS_ENV;
1135
              else -- CSR access
1136
                execute_engine.state_nxt <= CSR_ACCESS;
1137
              end if;
1138
            else
1139
              execute_engine.state_nxt <= SYS_WAIT;
1140
            end if;
1141 2 zero_gravi
 
1142
        end case;
1143
 
1144 39 zero_gravi
 
1145 71 zero_gravi
      when SYS_ENV => -- system environment operation - no action if illegal instruction
1146 2 zero_gravi
      -- ------------------------------------------------------------
1147 62 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT; -- default
1148 68 zero_gravi
        if (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- no illegal instruction
1149
          case decode_aux.sys_env_cmd is -- use a simplified input here (with hardwired zeros)
1150
            when funct12_ecall_c  => trap_ctrl.env_call       <= '1'; -- ECALL
1151
            when funct12_ebreak_c => trap_ctrl.break_point    <= '1'; -- EBREAK
1152
            when funct12_mret_c   => execute_engine.state_nxt <= TRAP_EXIT; -- MRET
1153 69 zero_gravi
            when funct12_dret_c   => -- DRET
1154 68 zero_gravi
              if (CPU_EXTENSION_RISCV_DEBUG = true) then
1155
                execute_engine.state_nxt <= TRAP_EXIT;
1156
                debug_ctrl.dret <= '1';
1157
              else
1158
                NULL; -- executed as NOP (and raise illegal instruction exception)
1159
              end if;
1160
            when funct12_wfi_c => -- WFI
1161 71 zero_gravi
              if (CPU_EXTENSION_RISCV_DEBUG = true) and ((debug_ctrl.running = '1') or (csr.dcsr_step = '1')) then -- NOP when in debug-mode or during single-stepping
1162 68 zero_gravi
                NULL; -- executed as NOP
1163
              else
1164
                execute_engine.sleep_nxt <= '1'; -- go to sleep mode
1165
              end if;
1166 71 zero_gravi
            when others => NULL; -- undefined, execute as NOP
1167 68 zero_gravi
          end case;
1168
        end if;
1169 39 zero_gravi
 
1170
 
1171 71 zero_gravi
      when CSR_ACCESS => -- read & write status and control register (CSR) - no read/write if illegal instruction
1172 39 zero_gravi
      -- ------------------------------------------------------------
1173 27 zero_gravi
        -- CSR write access --
1174 68 zero_gravi
        if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1175
           (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then -- CSRRW(I)
1176
          csr.we_nxt <= '1'; -- always write CSR
1177 69 zero_gravi
        else -- CSRRS(I) / CSRRC(I) [invalid CSR instructions are already checked by the illegal instruction logic]
1178 68 zero_gravi
          csr.we_nxt <= not decode_aux.rs1_zero; -- write CSR if rs1/imm is not zero
1179
        end if;
1180 27 zero_gravi
        -- register file write back --
1181 68 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_csrr_c;
1182
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1183
        execute_engine.state_nxt  <= DISPATCH;
1184 2 zero_gravi
 
1185 39 zero_gravi
 
1186 61 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (co-processor) to finish
1187 2 zero_gravi
      -- ------------------------------------------------------------
1188 68 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1189 71 zero_gravi
        -- wait for completion or abort on illegal instruction exception (the co-processor will also terminate operations)
1190
        if (alu_idone_i = '1') or (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1191 56 zero_gravi
          ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1192
          execute_engine.state_nxt  <= DISPATCH;
1193 2 zero_gravi
        end if;
1194
 
1195 39 zero_gravi
 
1196 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
1197
      -- ------------------------------------------------------------
1198 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
1199 68 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_nxpc_c; -- next PC
1200
        ctrl_nxt(ctrl_rf_wb_en_c) <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?)
1201 39 zero_gravi
        -- destination address --
1202 68 zero_gravi
        execute_engine.pc_mux_sel <= '1'; -- PC <= alu.add = branch/jump destination
1203 40 zero_gravi
        if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch
1204 66 zero_gravi
          -- no need to check for illegal instructions here; the branch condition evaluation circuit will not set "branch_taken" if funct3 is invalid
1205 49 zero_gravi
          execute_engine.pc_we        <= '1'; -- update PC
1206
          execute_engine.branched_nxt <= '1'; -- this is an actual branch
1207
          fetch_engine.reset          <= '1'; -- trigger new instruction fetch from modified PC
1208
          execute_engine.state_nxt    <= SYS_WAIT;
1209 11 zero_gravi
        else
1210
          execute_engine.state_nxt <= DISPATCH;
1211 6 zero_gravi
        end if;
1212
 
1213 39 zero_gravi
 
1214 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1215 6 zero_gravi
      -- ------------------------------------------------------------
1216 71 zero_gravi
        ctrl_nxt(ctrl_bus_lock_c) <= decode_aux.is_a_lr; -- atomic.LR: set lock
1217
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_a_lr = '1') then -- normal load or atomic load-reservate
1218 66 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
1219 39 zero_gravi
        else -- store
1220 71 zero_gravi
          if (decode_aux.is_a_sc = '0') or (CPU_EXTENSION_RISCV_A = false) then -- (normal) write request
1221 68 zero_gravi
            ctrl_nxt(ctrl_bus_wr_c) <= '1';
1222
          else -- evaluate lock state
1223
            ctrl_nxt(ctrl_bus_wr_c) <= excl_state_i; -- write request if lock is still ok
1224 57 zero_gravi
          end if;
1225 12 zero_gravi
        end if;
1226
        execute_engine.state_nxt <= LOADSTORE_1;
1227 6 zero_gravi
 
1228 39 zero_gravi
 
1229 61 zero_gravi
      when LOADSTORE_1 => -- memory access latency
1230 6 zero_gravi
      -- ------------------------------------------------------------
1231 61 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOADs)
1232 57 zero_gravi
        execute_engine.state_nxt   <= LOADSTORE_2;
1233 6 zero_gravi
 
1234 39 zero_gravi
 
1235 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1236 6 zero_gravi
      -- ------------------------------------------------------------
1237 57 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load (and SC.W) operations)
1238 53 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c) <= '1'; -- RF input = memory input (only relevant for LOADs)
1239 68 zero_gravi
        -- wait for memory response --
1240
        if (trap_ctrl.env_start = '1') and (trap_ctrl.cause(6 downto 5) = "00") then -- abort if SYNC EXCEPTION (from bus or illegal cmd) / no IRQs and NOT DEBUG-MODE-related
1241
          execute_engine.state_nxt <= DISPATCH;
1242 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1243 57 zero_gravi
          -- data write-back --
1244
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or -- normal load
1245 71 zero_gravi
             (decode_aux.is_a_lr = '1') or -- atomic load-reservate
1246
             (decode_aux.is_a_sc = '1') then -- atomic store-conditional
1247 53 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1';
1248 6 zero_gravi
          end if;
1249 61 zero_gravi
          -- remove atomic lock if this is NOT the LR.W instruction used to SET the lock --
1250 71 zero_gravi
          if (decode_aux.is_a_lr = '0') then -- execute and evaluate atomic store-conditional
1251 61 zero_gravi
            ctrl_nxt(ctrl_bus_de_lock_c) <= '1';
1252
          end if;
1253 6 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1254
        end if;
1255
 
1256 39 zero_gravi
 
1257 2 zero_gravi
      when others => -- undefined
1258
      -- ------------------------------------------------------------
1259 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1260 2 zero_gravi
 
1261
    end case;
1262 6 zero_gravi
  end process execute_engine_fsm_comb;
1263 2 zero_gravi
 
1264
 
1265 15 zero_gravi
-- ****************************************************************************************************************************
1266 71 zero_gravi
-- Illegal Instruction and CSR Access Check
1267 15 zero_gravi
-- ****************************************************************************************************************************
1268
 
1269 49 zero_gravi
  -- CSR Access Check -----------------------------------------------------------------------
1270 15 zero_gravi
  -- -------------------------------------------------------------------------------------------
1271 68 zero_gravi
  csr_access_check: process(execute_engine.i_reg, decode_aux, csr, debug_ctrl)
1272
    variable csr_wacc_v : std_ulogic; -- actual CSR write
1273
--  variable csr_racc_v : std_ulogic; -- actual CSR read
1274 15 zero_gravi
  begin
1275 58 zero_gravi
    -- is this CSR instruction really going to write to a CSR? --
1276 30 zero_gravi
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1277
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1278
      csr_wacc_v := '1'; -- always write CSR
1279 68 zero_gravi
--    csr_racc_v := or_reduce_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read if rd != 0
1280 58 zero_gravi
    else -- clear/set
1281 68 zero_gravi
      csr_wacc_v := not decode_aux.rs1_zero; -- write if rs1/uimm5 != 0
1282
--    csr_racc_v := '1'; -- always read CSR
1283 30 zero_gravi
    end if;
1284
 
1285 15 zero_gravi
    -- check CSR access --
1286 41 zero_gravi
    case csr.addr is
1287 56 zero_gravi
 
1288 58 zero_gravi
      -- floating-point CSRs --
1289 56 zero_gravi
      when csr_fflags_c | csr_frm_c | csr_fcsr_c =>
1290 65 zero_gravi
        csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- full access for everyone if FPU implemented
1291 56 zero_gravi
 
1292 68 zero_gravi
      -- machine trap setup/handling & counters --
1293 65 zero_gravi
      when csr_mstatus_c | csr_mstatush_c | csr_misa_c | csr_mie_c | csr_mtvec_c | csr_mscratch_c | csr_mepc_c | csr_mcause_c | csr_mip_c | csr_mtval_c |
1294
           csr_mcycle_c | csr_mcycleh_c | csr_minstret_c | csr_minstreth_c | csr_mcountinhibit_c =>
1295 69 zero_gravi
        -- NOTE: MISA and MTVAL are read-only in the NEORV32 but we do not cause an exception here for compatibility.
1296 65 zero_gravi
        -- Machine-level code should read-back those CSRs after writing them to realize they are read-only.
1297 64 zero_gravi
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only 
1298 56 zero_gravi
 
1299 65 zero_gravi
      -- machine information registers, read-only --
1300
      when csr_mvendorid_c | csr_marchid_c | csr_mimpid_c | csr_mhartid_c | csr_mconfigptr_c =>
1301
        csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1302
 
1303 68 zero_gravi
      -- user-mode registers --
1304
      when csr_mcounteren_c | csr_menvcfg_c | csr_menvcfgh_c =>
1305 64 zero_gravi
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);
1306
 
1307 63 zero_gravi
      -- physical memory protection (PMP) --
1308
      when csr_pmpaddr0_c  | csr_pmpaddr1_c  | csr_pmpaddr2_c  | csr_pmpaddr3_c  | csr_pmpaddr4_c  | csr_pmpaddr5_c  | csr_pmpaddr6_c  | csr_pmpaddr7_c  | -- address
1309 42 zero_gravi
           csr_pmpaddr8_c  | csr_pmpaddr9_c  | csr_pmpaddr10_c | csr_pmpaddr11_c | csr_pmpaddr12_c | csr_pmpaddr13_c | csr_pmpaddr14_c | csr_pmpaddr15_c |
1310
           csr_pmpaddr16_c | csr_pmpaddr17_c | csr_pmpaddr18_c | csr_pmpaddr19_c | csr_pmpaddr20_c | csr_pmpaddr21_c | csr_pmpaddr22_c | csr_pmpaddr23_c |
1311
           csr_pmpaddr24_c | csr_pmpaddr25_c | csr_pmpaddr26_c | csr_pmpaddr27_c | csr_pmpaddr28_c | csr_pmpaddr29_c | csr_pmpaddr30_c | csr_pmpaddr31_c |
1312
           csr_pmpaddr32_c | csr_pmpaddr33_c | csr_pmpaddr34_c | csr_pmpaddr35_c | csr_pmpaddr36_c | csr_pmpaddr37_c | csr_pmpaddr38_c | csr_pmpaddr39_c |
1313
           csr_pmpaddr40_c | csr_pmpaddr41_c | csr_pmpaddr42_c | csr_pmpaddr43_c | csr_pmpaddr44_c | csr_pmpaddr45_c | csr_pmpaddr46_c | csr_pmpaddr47_c |
1314
           csr_pmpaddr48_c | csr_pmpaddr49_c | csr_pmpaddr50_c | csr_pmpaddr51_c | csr_pmpaddr52_c | csr_pmpaddr53_c | csr_pmpaddr54_c | csr_pmpaddr55_c |
1315 60 zero_gravi
           csr_pmpaddr56_c | csr_pmpaddr57_c | csr_pmpaddr58_c | csr_pmpaddr59_c | csr_pmpaddr60_c | csr_pmpaddr61_c | csr_pmpaddr62_c | csr_pmpaddr63_c |
1316 63 zero_gravi
           csr_pmpcfg0_c   | csr_pmpcfg1_c   | csr_pmpcfg2_c   | csr_pmpcfg3_c   | csr_pmpcfg4_c   | csr_pmpcfg5_c   | csr_pmpcfg6_c   | csr_pmpcfg7_c   | -- configuration
1317 61 zero_gravi
           csr_pmpcfg8_c   | csr_pmpcfg9_c   | csr_pmpcfg10_c  | csr_pmpcfg11_c  | csr_pmpcfg12_c  | csr_pmpcfg13_c  | csr_pmpcfg14_c  | csr_pmpcfg15_c =>
1318 65 zero_gravi
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS > 0)); -- M-mode only
1319 56 zero_gravi
 
1320 61 zero_gravi
      -- hardware performance monitors (HPM) --
1321
      when csr_mhpmcounter3_c   | csr_mhpmcounter4_c   | csr_mhpmcounter5_c   | csr_mhpmcounter6_c   | csr_mhpmcounter7_c   | csr_mhpmcounter8_c   | -- counter LOW
1322 56 zero_gravi
           csr_mhpmcounter9_c   | csr_mhpmcounter10_c  | csr_mhpmcounter11_c  | csr_mhpmcounter12_c  | csr_mhpmcounter13_c  | csr_mhpmcounter14_c  |
1323
           csr_mhpmcounter15_c  | csr_mhpmcounter16_c  | csr_mhpmcounter17_c  | csr_mhpmcounter18_c  | csr_mhpmcounter19_c  | csr_mhpmcounter20_c  |
1324
           csr_mhpmcounter21_c  | csr_mhpmcounter22_c  | csr_mhpmcounter23_c  | csr_mhpmcounter24_c  | csr_mhpmcounter25_c  | csr_mhpmcounter26_c  |
1325
           csr_mhpmcounter27_c  | csr_mhpmcounter28_c  | csr_mhpmcounter29_c  | csr_mhpmcounter30_c  | csr_mhpmcounter31_c  |
1326 61 zero_gravi
           csr_mhpmcounter3h_c  | csr_mhpmcounter4h_c  | csr_mhpmcounter5h_c  | csr_mhpmcounter6h_c  | csr_mhpmcounter7h_c  | csr_mhpmcounter8h_c  | -- counter HIGH
1327 56 zero_gravi
           csr_mhpmcounter9h_c  | csr_mhpmcounter10h_c | csr_mhpmcounter11h_c | csr_mhpmcounter12h_c | csr_mhpmcounter13h_c | csr_mhpmcounter14h_c |
1328
           csr_mhpmcounter15h_c | csr_mhpmcounter16h_c | csr_mhpmcounter17h_c | csr_mhpmcounter18h_c | csr_mhpmcounter19h_c | csr_mhpmcounter20h_c |
1329
           csr_mhpmcounter21h_c | csr_mhpmcounter22h_c | csr_mhpmcounter23h_c | csr_mhpmcounter24h_c | csr_mhpmcounter25h_c | csr_mhpmcounter26h_c |
1330 61 zero_gravi
           csr_mhpmcounter27h_c | csr_mhpmcounter28h_c | csr_mhpmcounter29h_c | csr_mhpmcounter30h_c | csr_mhpmcounter31h_c |
1331
           csr_mhpmevent3_c     | csr_mhpmevent4_c     | csr_mhpmevent5_c     | csr_mhpmevent6_c     | csr_mhpmevent7_c     | csr_mhpmevent8_c     | -- event configuration
1332
           csr_mhpmevent9_c     | csr_mhpmevent10_c    | csr_mhpmevent11_c    | csr_mhpmevent12_c    | csr_mhpmevent13_c    | csr_mhpmevent14_c    |
1333
           csr_mhpmevent15_c    | csr_mhpmevent16_c    | csr_mhpmevent17_c    | csr_mhpmevent18_c    | csr_mhpmevent19_c    | csr_mhpmevent20_c    |
1334
           csr_mhpmevent21_c    | csr_mhpmevent22_c    | csr_mhpmevent23_c    | csr_mhpmevent24_c    | csr_mhpmevent25_c    | csr_mhpmevent26_c    |
1335
           csr_mhpmevent27_c    | csr_mhpmevent28_c    | csr_mhpmevent29_c    | csr_mhpmevent30_c    | csr_mhpmevent31_c =>
1336 66 zero_gravi
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zihpm); -- M-mode only
1337 56 zero_gravi
 
1338 68 zero_gravi
      -- user-level counters/timers (read-only) --
1339 65 zero_gravi
      when csr_cycle_c | csr_cycleh_c | csr_instret_c | csr_instreth_c | csr_time_c | csr_timeh_c =>
1340
        case csr.addr(1 downto 0) is
1341 66 zero_gravi
          when "00"   => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- cyle[h]: M-mode, U-mode if authorized, implemented at all, read-only
1342
          when "01"   => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- time[h]: M-mode, U-mode if authorized, implemented at all, read-only
1343
          when "10"   => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- instret[h]: M-mode, U-mode if authorized, implemented at all read-only
1344 65 zero_gravi
          when others => csr_acc_valid <= '0';
1345
        end case;
1346 56 zero_gravi
 
1347 59 zero_gravi
      -- debug mode CSRs --
1348
      when csr_dcsr_c | csr_dpc_c | csr_dscratch0_c =>
1349 65 zero_gravi
        csr_acc_valid <= debug_ctrl.running and bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG); -- access only in debug-mode
1350 59 zero_gravi
 
1351 56 zero_gravi
      -- undefined / not implemented --
1352
      when others =>
1353 65 zero_gravi
        csr_acc_valid <= '0'; -- invalid access
1354 15 zero_gravi
    end case;
1355 49 zero_gravi
  end process csr_access_check;
1356 15 zero_gravi
 
1357
 
1358 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1359
  -- -------------------------------------------------------------------------------------------
1360 62 zero_gravi
  illegal_instruction_check: process(execute_engine, decode_aux, csr, csr_acc_valid, debug_ctrl)
1361 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1362 2 zero_gravi
  begin
1363 65 zero_gravi
    -- illegal instructions are checked in the EXECUTE state
1364 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1365 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1366 2 zero_gravi
      -- defaults --
1367
      illegal_instruction <= '0';
1368
      illegal_register    <= '0';
1369
 
1370 36 zero_gravi
      -- check opcode for rv32 --
1371
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1372
        illegal_opcode_lsbs <= '0';
1373
      else
1374
        illegal_opcode_lsbs <= '1';
1375
      end if;
1376
 
1377 66 zero_gravi
      -- check for illegal compressed instruction --
1378
      if (CPU_EXTENSION_RISCV_C = true) then
1379
        illegal_compressed <= execute_engine.is_ici;
1380
      else
1381
        illegal_compressed <= '0';
1382
      end if;
1383
 
1384 2 zero_gravi
      -- check instructions --
1385 60 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
1386 36 zero_gravi
      case opcode_v is
1387 2 zero_gravi
 
1388 59 zero_gravi
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c => -- check sufficient LUI, UIPC, JAL (only check actual OPCODE)
1389 52 zero_gravi
        -- ------------------------------------------------------------
1390 2 zero_gravi
          illegal_instruction <= '0';
1391 23 zero_gravi
          -- illegal E-CPU register? --
1392 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1393 2 zero_gravi
 
1394 44 zero_gravi
        when opcode_alu_c => -- check ALU.funct3 & ALU.funct7
1395 52 zero_gravi
        -- ------------------------------------------------------------
1396 68 zero_gravi
          if (((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and
1397
              (execute_engine.i_reg(instr_funct7_msb_c-2 downto instr_funct7_lsb_c) = "00000") and (execute_engine.i_reg(instr_funct7_msb_c) = '0')) or
1398
             (((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or
1399
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
1400
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) or
1401
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_xor_c) or
1402
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_or_c) or
1403
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_and_c)) and
1404
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000000")) then -- valid base ALUI instruction?
1405
            illegal_instruction <= '0';
1406
          elsif ((CPU_EXTENSION_RISCV_M = true) or (CPU_EXTENSION_RISCV_Zmmul = false)) and (decode_aux.is_m_mul = '1') then -- valid MUL instruction?
1407
            illegal_instruction <= '0';
1408
          elsif (CPU_EXTENSION_RISCV_M = true) and (decode_aux.is_m_div = '1') then -- valid DIV instruction?
1409
            illegal_instruction <= '0';
1410 71 zero_gravi
          elsif (CPU_EXTENSION_RISCV_B = true) and (decode_aux.is_b_reg = '1') then -- valid BITMANIP instruction?
1411 68 zero_gravi
            illegal_instruction <= '0';
1412
          else
1413 44 zero_gravi
            illegal_instruction <= '1';
1414
          end if;
1415
          -- illegal E-CPU register? --
1416 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rd_msb_c) or execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rs2_msb_c);
1417 44 zero_gravi
 
1418
        when opcode_alui_c => -- check ALUI.funct7
1419 52 zero_gravi
        -- ------------------------------------------------------------
1420 68 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1421
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
1422
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) or
1423
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_xor_c) or
1424
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_or_c) or
1425
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_and_c) or
1426
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and -- shift logical left
1427
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000000")) or
1428
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and -- shift right
1429
              ((execute_engine.i_reg(instr_funct7_msb_c-2 downto instr_funct7_lsb_c) = "00000") and (execute_engine.i_reg(instr_funct7_msb_c) = '0'))) then -- valid base ALUI instruction?
1430
            illegal_instruction <= '0';
1431 71 zero_gravi
          elsif (CPU_EXTENSION_RISCV_B = true) and (decode_aux.is_b_imm = '1') then -- valid BITMANIP immediate instruction?
1432 68 zero_gravi
            illegal_instruction <= '0';
1433
          else
1434 2 zero_gravi
            illegal_instruction <= '1';
1435
          end if;
1436 23 zero_gravi
          -- illegal E-CPU register? --
1437 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1438 39 zero_gravi
 
1439 44 zero_gravi
        when opcode_load_c => -- check LOAD.funct3
1440 52 zero_gravi
        -- ------------------------------------------------------------
1441 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1442
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1443
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1444
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1445
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1446 2 zero_gravi
            illegal_instruction <= '0';
1447
          else
1448
            illegal_instruction <= '1';
1449
          end if;
1450 23 zero_gravi
          -- illegal E-CPU register? --
1451 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1452 39 zero_gravi
 
1453 44 zero_gravi
        when opcode_store_c => -- check STORE.funct3
1454 52 zero_gravi
        -- ------------------------------------------------------------
1455 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1456
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1457
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1458 2 zero_gravi
            illegal_instruction <= '0';
1459
          else
1460
            illegal_instruction <= '1';
1461
          end if;
1462 23 zero_gravi
          -- illegal E-CPU register? --
1463 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rs1_msb_c);
1464 68 zero_gravi
 
1465
        when opcode_atomic_c => -- atomic instructions
1466
        -- ------------------------------------------------------------
1467
          if (CPU_EXTENSION_RISCV_A = true) then
1468
            if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = "00010") then -- LR
1469
              illegal_instruction <= '0';
1470
              -- illegal E-CPU register? --
1471 71 zero_gravi
              illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1472 68 zero_gravi
            elsif (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = "00011") then -- SC
1473
              illegal_instruction <= '0';
1474
              -- illegal E-CPU register? --
1475 71 zero_gravi
              illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1476 68 zero_gravi
            else
1477
              illegal_instruction <= '1';
1478
            end if;
1479
          else
1480
            illegal_instruction <= '1';
1481 23 zero_gravi
          end if;
1482 2 zero_gravi
 
1483 44 zero_gravi
        when opcode_branch_c => -- check BRANCH.funct3
1484 52 zero_gravi
        -- ------------------------------------------------------------
1485 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1486
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1487
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1488
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1489
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1490
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1491 2 zero_gravi
            illegal_instruction <= '0';
1492
          else
1493
            illegal_instruction <= '1';
1494
          end if;
1495 23 zero_gravi
          -- illegal E-CPU register? --
1496 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rs1_msb_c);
1497 2 zero_gravi
 
1498 44 zero_gravi
        when opcode_jalr_c => -- check JALR.funct3
1499 52 zero_gravi
        -- ------------------------------------------------------------
1500 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1501 2 zero_gravi
            illegal_instruction <= '0';
1502
          else
1503
            illegal_instruction <= '1';
1504
          end if;
1505 23 zero_gravi
          -- illegal E-CPU register? --
1506 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1507 2 zero_gravi
 
1508 68 zero_gravi
        when opcode_fence_c => -- check FENCE.funct3
1509 52 zero_gravi
        -- ------------------------------------------------------------
1510 64 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true)) or -- FENCE.I
1511 61 zero_gravi
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1512 8 zero_gravi
            illegal_instruction <= '0';
1513
          else
1514
            illegal_instruction <= '1';
1515
          end if;
1516 71 zero_gravi
          -- NOTE: ignore all remaining bit fields here
1517 8 zero_gravi
 
1518 52 zero_gravi
        when opcode_syscsr_c => -- check system instructions
1519
        -- ------------------------------------------------------------
1520 2 zero_gravi
          -- CSR access --
1521 68 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1522
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1523
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1524
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1525
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1526
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c)) and
1527
             (csr_acc_valid = '1') then -- valid CSR access?
1528
            illegal_instruction <= '0';
1529 23 zero_gravi
            -- illegal E-CPU register? --
1530 71 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1531
              illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1532
            else -- reg-imm CSR
1533
              illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1534 23 zero_gravi
            end if;
1535 71 zero_gravi
          -- system: ecall, ebreak, mret, wfi, dret --
1536 68 zero_gravi
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") and
1537
                (decode_aux.rs1_zero = '1') and (decode_aux.rd_zero = '1') and
1538
                ((execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1539
                 (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1540
                 ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_mret_c) and (csr.priv_m_mode = '1')) or -- MRET (only allowed in M-mode)
1541
                 ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_dret_c) and (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1')) or -- DRET (only allowed in D-mode)
1542
                 (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c)) then -- WFI (always allowed to execute)
1543 39 zero_gravi
            illegal_instruction <= '0';
1544
          else
1545
            illegal_instruction <= '1';
1546
          end if;
1547
 
1548 53 zero_gravi
        when opcode_fop_c => -- floating point operations - single/dual operands
1549 52 zero_gravi
        -- ------------------------------------------------------------
1550 63 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) and -- F extension implemented
1551 53 zero_gravi
             (execute_engine.i_reg(instr_funct7_lsb_c+1 downto instr_funct7_lsb_c) = float_single_c) and -- single-precision operations only
1552 71 zero_gravi
             (decode_aux.is_f_op = '1') then -- is correct/supported floating-point instruction
1553 52 zero_gravi
            illegal_instruction <= '0';
1554
          else
1555
            illegal_instruction <= '1';
1556
          end if;
1557 68 zero_gravi
          -- illegal E-CPU register? --
1558
          -- FIXME: rs2 is not checked!
1559 71 zero_gravi
          illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1560 52 zero_gravi
 
1561 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1562 52 zero_gravi
        -- ------------------------------------------------------------
1563 36 zero_gravi
          illegal_instruction <= '1';
1564 2 zero_gravi
 
1565
      end case;
1566
    else
1567 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1568 66 zero_gravi
      illegal_compressed  <= '0';
1569 2 zero_gravi
      illegal_instruction <= '0';
1570
      illegal_register    <= '0';
1571
    end if;
1572
  end process illegal_instruction_check;
1573
 
1574
  -- any illegal condition? --
1575 71 zero_gravi
  trap_ctrl.instr_il <= illegal_opcode_lsbs or -- illegal opcode MSB bits
1576
                        illegal_instruction or -- illegal instruction format/layout
1577
                        (bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and illegal_register) or -- illegal register access in E extension
1578
                        illegal_compressed; -- illegal compressed instruction
1579 2 zero_gravi
 
1580
 
1581 6 zero_gravi
-- ****************************************************************************************************************************
1582 71 zero_gravi
-- Exception and Interrupt (= Traps) Control
1583 6 zero_gravi
-- ****************************************************************************************************************************
1584 2 zero_gravi
 
1585 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1586 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1587 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1588 40 zero_gravi
    variable mode_m_v, mode_u_v : std_ulogic;
1589 2 zero_gravi
  begin
1590
    if (rstn_i = '0') then
1591 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1592 64 zero_gravi
      trap_ctrl.irq_buf   <= (others => '0');
1593 71 zero_gravi
      trap_ctrl.exc_clr   <= '0';
1594 47 zero_gravi
      trap_ctrl.env_start <= '0';
1595 65 zero_gravi
      trap_ctrl.cause     <= (others => '0');
1596 2 zero_gravi
    elsif rising_edge(clk_i) then
1597
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1598 59 zero_gravi
 
1599 68 zero_gravi
        -- exception queue: misaligned load/store/instruction address --
1600 71 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c) <= (trap_ctrl.exc_buf(exception_lalign_c) or ma_load_i)          and (not trap_ctrl.exc_clr);
1601
        trap_ctrl.exc_buf(exception_salign_c) <= (trap_ctrl.exc_buf(exception_salign_c) or ma_store_i)         and (not trap_ctrl.exc_clr);
1602
        trap_ctrl.exc_buf(exception_ialign_c) <= (trap_ctrl.exc_buf(exception_ialign_c) or trap_ctrl.instr_ma) and (not trap_ctrl.exc_clr);
1603 59 zero_gravi
 
1604 68 zero_gravi
        -- exception queue: load/store/instruction bus access error --
1605 71 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c) <= (trap_ctrl.exc_buf(exception_laccess_c) or be_load_i)          and (not trap_ctrl.exc_clr);
1606
        trap_ctrl.exc_buf(exception_saccess_c) <= (trap_ctrl.exc_buf(exception_saccess_c) or be_store_i)         and (not trap_ctrl.exc_clr);
1607
        trap_ctrl.exc_buf(exception_iaccess_c) <= (trap_ctrl.exc_buf(exception_iaccess_c) or trap_ctrl.instr_be) and (not trap_ctrl.exc_clr);
1608 59 zero_gravi
 
1609 68 zero_gravi
        -- exception queue: illegal instruction / environment calls --
1610 71 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_clr);
1611
        trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_clr);
1612
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)                       and (not trap_ctrl.exc_clr);
1613 68 zero_gravi
 
1614
        -- exception queue: break point --
1615 59 zero_gravi
        if (CPU_EXTENSION_RISCV_DEBUG = true) then
1616 71 zero_gravi
          trap_ctrl.exc_buf(exception_break_c) <= (not trap_ctrl.exc_clr) and (trap_ctrl.exc_buf(exception_break_c) or
1617
            (trap_ctrl.break_point and csr.priv_m_mode and (not csr.dcsr_ebreakm) and (not debug_ctrl.running)) or -- break to machine-trap-handler when in machine mode on "ebreak"
1618
            (trap_ctrl.break_point and csr.priv_u_mode and (not csr.dcsr_ebreaku) and (not debug_ctrl.running))); -- break to machine-trap-handler when in user mode on "ebreak"
1619 59 zero_gravi
        else
1620 71 zero_gravi
          trap_ctrl.exc_buf(exception_break_c) <= (trap_ctrl.exc_buf(exception_break_c) or trap_ctrl.break_point) and (not trap_ctrl.exc_clr);
1621 59 zero_gravi
        end if;
1622
 
1623 71 zero_gravi
        -- exception/interrupt buffer: enter debug mode --
1624
        trap_ctrl.exc_buf(exception_db_break_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and (trap_ctrl.exc_buf(exception_db_break_c) or debug_ctrl.trig_break) and (not trap_ctrl.exc_clr);
1625 68 zero_gravi
        trap_ctrl.irq_buf(interrupt_db_halt_c)  <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and debug_ctrl.trig_halt;
1626
        trap_ctrl.irq_buf(interrupt_db_step_c)  <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and debug_ctrl.trig_step;
1627 59 zero_gravi
 
1628 68 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt --
1629 64 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and msw_irq_i;
1630
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and mext_irq_i;
1631
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and mtime_irq_i;
1632 59 zero_gravi
 
1633 69 zero_gravi
        -- interrupt queue: NEORV32-specific fast interrupts (FIRQ) --
1634
        trap_ctrl.irq_buf(interrupt_firq_15_c downto interrupt_firq_0_c) <= (trap_ctrl.irq_buf(interrupt_firq_15_c downto interrupt_firq_0_c) or (csr.mie_firqe and firq_i)) and (not csr.mip_clr);
1635 68 zero_gravi
 
1636
        -- trap environment control --
1637 6 zero_gravi
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1638 68 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception triggered!
1639 49 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP_ENTER))) then -- fire IRQs in EXECUTE or TRAP state only to continue execution even on permanent IRQ
1640 65 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt; -- capture source ID for program (for mcause csr)
1641 71 zero_gravi
            trap_ctrl.exc_clr   <= '1';                 -- clear exceptions (no ack mask: these have highest priority and are always evaluated first!)
1642 65 zero_gravi
            trap_ctrl.env_start <= '1';                 -- now execute engine can start trap handler
1643 2 zero_gravi
          end if;
1644 6 zero_gravi
        else -- trap waiting to get started
1645
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1646 71 zero_gravi
            trap_ctrl.exc_clr   <= '0';
1647 6 zero_gravi
            trap_ctrl.env_start <= '0';
1648 2 zero_gravi
          end if;
1649
        end if;
1650
      end if;
1651
    end if;
1652 6 zero_gravi
  end process trap_controller;
1653 2 zero_gravi
 
1654
  -- any exception/interrupt? --
1655 60 zero_gravi
  trap_ctrl.exc_fire <= or_reduce_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1656 64 zero_gravi
  trap_ctrl.irq_fire <= (or_reduce_f(trap_ctrl.irq_buf) and csr.mstatus_mie and trap_ctrl.db_irq_en) or trap_ctrl.db_irq_fire; -- interrupts CAN be masked (but not the DEBUG halt IRQ)
1657 2 zero_gravi
 
1658 59 zero_gravi
  -- debug mode (entry) interrupts --
1659 61 zero_gravi
  trap_ctrl.db_irq_en   <= '0' when (CPU_EXTENSION_RISCV_DEBUG = true) and ((debug_ctrl.running = '1') or (csr.dcsr_step = '1')) else '1'; -- no interrupts when IN debug mode or IN single-step mode
1660 59 zero_gravi
  trap_ctrl.db_irq_fire <= (trap_ctrl.irq_buf(interrupt_db_step_c) or trap_ctrl.irq_buf(interrupt_db_halt_c)) when (CPU_EXTENSION_RISCV_DEBUG = true) else '0'; -- "NMI" for debug mode entry
1661
 
1662 40 zero_gravi
 
1663 42 zero_gravi
  -- Trap Priority Encoder ------------------------------------------------------------------
1664 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1665
  trap_priority: process(trap_ctrl)
1666 2 zero_gravi
  begin
1667 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1668 68 zero_gravi
    -- the following traps are caused by *synchronous* exceptions; we do not need a
1669 64 zero_gravi
    -- specific acknowledge mask since only _one_ exception (the one with highest priority)
1670
    -- is allowed to kick in at once
1671 59 zero_gravi
    -- ----------------------------------------------------------------------------------------
1672
 
1673 64 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1674
    if (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1675
      trap_ctrl.cause_nxt <= trap_ima_c;
1676
 
1677
    -- exception: 0.1 instruction access fault --
1678
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1679
      trap_ctrl.cause_nxt <= trap_iba_c;
1680
 
1681
    -- exception: 0.2 illegal instruction --
1682
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1683
      trap_ctrl.cause_nxt <= trap_iil_c;
1684
 
1685
 
1686
    -- exception: 0.11 environment call from M-mode --
1687
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1688
      trap_ctrl.cause_nxt <= trap_menv_c;
1689
 
1690
    -- exception: 0.8 environment call from U-mode --
1691
    elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then
1692
      trap_ctrl.cause_nxt <= trap_uenv_c;
1693
 
1694
    -- exception: 0.3 breakpoint --
1695
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1696
      trap_ctrl.cause_nxt <= trap_brk_c;
1697
 
1698
 
1699
    -- exception: 0.6 store address misaligned -
1700
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1701
      trap_ctrl.cause_nxt <= trap_sma_c;
1702
 
1703
    -- exception: 0.4 load address misaligned --
1704
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1705
      trap_ctrl.cause_nxt <= trap_lma_c;
1706
 
1707
    -- exception: 0.7 store access fault --
1708
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1709
      trap_ctrl.cause_nxt <= trap_sbe_c;
1710
 
1711
    -- exception: 0.5 load access fault --
1712
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1713
      trap_ctrl.cause_nxt <= trap_lbe_c;
1714
 
1715
    -- ----------------------------------------------------------------------------------------
1716 69 zero_gravi
    -- (re-)enter debug mode requests: basically, these are standard traps that have some
1717 64 zero_gravi
    -- special handling - they have the highest INTERRUPT priority in order to go to debug when requested
1718
    -- even if other IRQs are pending right now
1719
    -- ----------------------------------------------------------------------------------------
1720
 
1721 71 zero_gravi
    -- break instruction (sync) --
1722
    elsif (trap_ctrl.exc_buf(exception_db_break_c) = '1') then
1723 59 zero_gravi
      trap_ctrl.cause_nxt <= trap_db_break_c;
1724
 
1725 71 zero_gravi
    -- external halt request (async) --
1726
    elsif (trap_ctrl.irq_buf(interrupt_db_halt_c) = '1') then
1727 59 zero_gravi
      trap_ctrl.cause_nxt <= trap_db_halt_c;
1728
 
1729 71 zero_gravi
    -- single stepping (async) --
1730
    elsif (trap_ctrl.irq_buf(interrupt_db_step_c) = '1') then
1731 64 zero_gravi
      trap_ctrl.cause_nxt <= trap_db_step_c;
1732 59 zero_gravi
 
1733
    -- ----------------------------------------------------------------------------------------
1734 71 zero_gravi
    -- custom FAST interrupts (*asynchronous* exceptions)
1735 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1736 9 zero_gravi
 
1737 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1738
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1739
      trap_ctrl.cause_nxt <= trap_firq0_c;
1740
 
1741
    -- interrupt: 1.17 fast interrupt channel 1 --
1742
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1743
      trap_ctrl.cause_nxt <= trap_firq1_c;
1744
 
1745
    -- interrupt: 1.18 fast interrupt channel 2 --
1746
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1747
      trap_ctrl.cause_nxt <= trap_firq2_c;
1748
 
1749
    -- interrupt: 1.19 fast interrupt channel 3 --
1750
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1751
      trap_ctrl.cause_nxt <= trap_firq3_c;
1752
 
1753 47 zero_gravi
    -- interrupt: 1.20 fast interrupt channel 4 --
1754
    elsif (trap_ctrl.irq_buf(interrupt_firq_4_c) = '1') then
1755
      trap_ctrl.cause_nxt <= trap_firq4_c;
1756 14 zero_gravi
 
1757 47 zero_gravi
    -- interrupt: 1.21 fast interrupt channel 5 --
1758
    elsif (trap_ctrl.irq_buf(interrupt_firq_5_c) = '1') then
1759
      trap_ctrl.cause_nxt <= trap_firq5_c;
1760
 
1761
    -- interrupt: 1.22 fast interrupt channel 6 --
1762
    elsif (trap_ctrl.irq_buf(interrupt_firq_6_c) = '1') then
1763
      trap_ctrl.cause_nxt <= trap_firq6_c;
1764
 
1765
    -- interrupt: 1.23 fast interrupt channel 7 --
1766
    elsif (trap_ctrl.irq_buf(interrupt_firq_7_c) = '1') then
1767
      trap_ctrl.cause_nxt <= trap_firq7_c;
1768
 
1769 48 zero_gravi
    -- interrupt: 1.24 fast interrupt channel 8 --
1770
    elsif (trap_ctrl.irq_buf(interrupt_firq_8_c) = '1') then
1771
      trap_ctrl.cause_nxt <= trap_firq8_c;
1772 47 zero_gravi
 
1773 48 zero_gravi
    -- interrupt: 1.25 fast interrupt channel 9 --
1774
    elsif (trap_ctrl.irq_buf(interrupt_firq_9_c) = '1') then
1775
      trap_ctrl.cause_nxt <= trap_firq9_c;
1776
 
1777
    -- interrupt: 1.26 fast interrupt channel 10 --
1778
    elsif (trap_ctrl.irq_buf(interrupt_firq_10_c) = '1') then
1779
      trap_ctrl.cause_nxt <= trap_firq10_c;
1780
 
1781
    -- interrupt: 1.27 fast interrupt channel 11 --
1782
    elsif (trap_ctrl.irq_buf(interrupt_firq_11_c) = '1') then
1783
      trap_ctrl.cause_nxt <= trap_firq11_c;
1784
 
1785
    -- interrupt: 1.28 fast interrupt channel 12 --
1786
    elsif (trap_ctrl.irq_buf(interrupt_firq_12_c) = '1') then
1787
      trap_ctrl.cause_nxt <= trap_firq12_c;
1788
 
1789
    -- interrupt: 1.29 fast interrupt channel 13 --
1790
    elsif (trap_ctrl.irq_buf(interrupt_firq_13_c) = '1') then
1791
      trap_ctrl.cause_nxt <= trap_firq13_c;
1792
 
1793
    -- interrupt: 1.30 fast interrupt channel 14 --
1794
    elsif (trap_ctrl.irq_buf(interrupt_firq_14_c) = '1') then
1795
      trap_ctrl.cause_nxt <= trap_firq14_c;
1796
 
1797
    -- interrupt: 1.31 fast interrupt channel 15 --
1798
    elsif (trap_ctrl.irq_buf(interrupt_firq_15_c) = '1') then
1799
      trap_ctrl.cause_nxt <= trap_firq15_c;
1800
 
1801 71 zero_gravi
    -- ----------------------------------------------------------------------------------------
1802
    -- standard RISC-V interrupts (*asynchronous* exceptions)
1803
    -- ----------------------------------------------------------------------------------------
1804 48 zero_gravi
 
1805 64 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1806
    elsif (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1807
      trap_ctrl.cause_nxt <= trap_mei_c;
1808 2 zero_gravi
 
1809 64 zero_gravi
    -- interrupt: 1.3 machine SW interrupt --
1810
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1811
      trap_ctrl.cause_nxt <= trap_msi_c;
1812 2 zero_gravi
 
1813 64 zero_gravi
    -- interrupt: 1.7 machine timer interrupt --
1814 71 zero_gravi
    else--if (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then -- last condition, so NO IF required
1815 64 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1816 2 zero_gravi
 
1817
    end if;
1818 6 zero_gravi
  end process trap_priority;
1819
 
1820 2 zero_gravi
 
1821 6 zero_gravi
-- ****************************************************************************************************************************
1822
-- Control and Status Registers (CSRs)
1823
-- ****************************************************************************************************************************
1824 2 zero_gravi
 
1825 71 zero_gravi
  -- Control and Status Registers - Write Data ----------------------------------------------
1826 27 zero_gravi
  -- -------------------------------------------------------------------------------------------
1827 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1828 71 zero_gravi
    variable csr_imm_v : std_ulogic_vector(data_width_c-1 downto 0);
1829 27 zero_gravi
  begin
1830 71 zero_gravi
    -- tiny ALU to compute CSR write data --
1831
    csr_imm_v := (others => '0');
1832
    csr_imm_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1833
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
1834
      when funct3_csrrw_c  => csr.wdata <= rs1_i;
1835
      when funct3_csrrs_c  => csr.wdata <= csr.rdata or rs1_i;
1836
      when funct3_csrrc_c  => csr.wdata <= csr.rdata and (not rs1_i);
1837
      when funct3_csrrwi_c => csr.wdata <= csr_imm_v;
1838
      when funct3_csrrsi_c => csr.wdata <= csr.rdata or csr_imm_v;
1839
      when funct3_csrrci_c => csr.wdata <= csr.rdata and (not csr_imm_v);
1840
      when others          => csr.wdata <= (others => '-'); -- undefined
1841 27 zero_gravi
    end case;
1842
  end process csr_write_data;
1843
 
1844
 
1845 52 zero_gravi
  -- Control and Status Registers - Write Access --------------------------------------------
1846 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1847
  csr_write_access: process(rstn_i, clk_i)
1848 65 zero_gravi
    variable cause_v : std_ulogic_vector(6 downto 0);
1849 2 zero_gravi
  begin
1850 71 zero_gravi
    -- NOTE: If <dedicated_reset_c> = true then <def_rst_val_c> evaluates to '-'. Registers that reset to <def_rst_val_c>
1851
    -- do NOT actually have a real reset by default and have to be explicitly initialized by software!
1852 56 zero_gravi
    -- see: https://forums.xilinx.com/t5/General-Technical-Discussion/quot-Don-t-care-quot-reset-value/td-p/412845
1853 2 zero_gravi
    if (rstn_i = '0') then
1854 68 zero_gravi
      csr.we                <= '0';
1855 11 zero_gravi
      --
1856 68 zero_gravi
      csr.mstatus_mie       <= '0';
1857
      csr.mstatus_mpie      <= '0';
1858
      csr.mstatus_mpp       <= (others => '0');
1859
      csr.privilege         <= priv_mode_m_c; -- start in MACHINE mode
1860
      csr.mie_msie          <= def_rst_val_c;
1861
      csr.mie_meie          <= def_rst_val_c;
1862
      csr.mie_mtie          <= def_rst_val_c;
1863
      csr.mie_firqe         <= (others => def_rst_val_c);
1864
      csr.mtvec             <= (others => def_rst_val_c);
1865
      csr.mscratch          <= x"19880704";
1866
      csr.mepc              <= (others => def_rst_val_c);
1867
      csr.mcause            <= (others => def_rst_val_c);
1868
      csr.mtval             <= (others => def_rst_val_c);
1869 69 zero_gravi
      csr.mip_clr           <= (others => def_rst_val_c);
1870 42 zero_gravi
      --
1871 68 zero_gravi
      csr.pmpcfg            <= (others => (others => '0'));
1872
      csr.pmpaddr           <= (others => (others => def_rst_val_c));
1873 34 zero_gravi
      --
1874 68 zero_gravi
      csr.mhpmevent         <= (others => (others => def_rst_val_c));
1875 41 zero_gravi
      --
1876 68 zero_gravi
      csr.mcounteren_cy     <= def_rst_val_c;
1877
      csr.mcounteren_tm     <= def_rst_val_c;
1878
      csr.mcounteren_ir     <= def_rst_val_c;
1879 42 zero_gravi
      --
1880 56 zero_gravi
      csr.mcountinhibit_cy  <= def_rst_val_c;
1881
      csr.mcountinhibit_ir  <= def_rst_val_c;
1882
      csr.mcountinhibit_hpm <= (others => def_rst_val_c);
1883 52 zero_gravi
      --
1884 68 zero_gravi
      csr.fflags            <= (others => def_rst_val_c);
1885
      csr.frm               <= (others => def_rst_val_c);
1886 59 zero_gravi
      --
1887 68 zero_gravi
      csr.dcsr_ebreakm      <= '0';
1888
      csr.dcsr_ebreaku      <= '0';
1889
      csr.dcsr_step         <= '0';
1890
      csr.dcsr_prv          <= (others => def_rst_val_c);
1891
      csr.dcsr_cause        <= (others => def_rst_val_c);
1892
      csr.dpc               <= (others => def_rst_val_c);
1893
      csr.dscratch0         <= (others => def_rst_val_c);
1894 49 zero_gravi
 
1895 2 zero_gravi
    elsif rising_edge(clk_i) then
1896 29 zero_gravi
      -- write access? --
1897
      csr.we <= csr.we_nxt;
1898 56 zero_gravi
 
1899 69 zero_gravi
      -- defaults --
1900
      csr.mip_clr <= (others => '0');
1901
 
1902 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1903
        -- --------------------------------------------------------------------------------
1904
        -- CSR access by application software
1905
        -- --------------------------------------------------------------------------------
1906 68 zero_gravi
        if (csr.we = '1') and (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- manual write access and not illegal instruction
1907 52 zero_gravi
 
1908
          -- user floating-point CSRs --
1909
          -- --------------------------------------------------------------------
1910 56 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) then -- floating point CSR class
1911 68 zero_gravi
            if (csr.addr(11 downto 2) = csr_class_float_c) then
1912
              if (csr.addr(1 downto 0) = "01") then -- R/W: fflags - floating-point (FPU) exception flags
1913
                csr.fflags <= csr.wdata(4 downto 0);
1914
              elsif (csr.addr(1 downto 0) = "10") then -- R/W: frm - floating-point (FPU) rounding mode
1915
                csr.frm    <= csr.wdata(2 downto 0);
1916
              elsif (csr.addr(1 downto 0) = "11") then -- R/W: fcsr - floating-point (FPU) control/status (frm + fflags)
1917
                csr.frm    <= csr.wdata(7 downto 5);
1918
                csr.fflags <= csr.wdata(4 downto 0);
1919
              end if;
1920 52 zero_gravi
            end if;
1921
          end if;
1922
 
1923
          -- machine trap setup --
1924
          -- --------------------------------------------------------------------
1925 63 zero_gravi
          if (csr.addr(11 downto 3) = csr_class_setup_c) then -- trap setup CSR class
1926 52 zero_gravi
            -- R/W: mstatus - machine status register --
1927 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mstatus_c(2 downto 0)) then
1928 36 zero_gravi
              csr.mstatus_mie  <= csr.wdata(03);
1929
              csr.mstatus_mpie <= csr.wdata(07);
1930
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1931
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1932
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1933
              end if;
1934 52 zero_gravi
            end if;
1935
            -- R/W: mie - machine interrupt enable register --
1936 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mie_c(2 downto 0)) then
1937 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1938
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1939
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1940 48 zero_gravi
              for i in 0 to 15 loop -- fast interrupt channels 0..15
1941
                csr.mie_firqe(i) <= csr.wdata(16+i);
1942
              end loop; -- i
1943 52 zero_gravi
            end if;
1944
            -- R/W: mtvec - machine trap-handler base address (for ALL exceptions) --
1945 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mtvec_c(2 downto 0)) then
1946 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1947 52 zero_gravi
            end if;
1948 66 zero_gravi
            -- R/W: mcounteren - machine counter enable register --
1949 56 zero_gravi
            if (CPU_EXTENSION_RISCV_U = true) then -- this CSR is hardwired to zero if user mode is not implemented
1950 63 zero_gravi
              if (csr.addr(2 downto 0) = csr_mcounteren_c(2 downto 0)) then
1951 61 zero_gravi
                csr.mcounteren_cy <= csr.wdata(0); -- enable user-level access to cycle[h]
1952
                csr.mcounteren_tm <= csr.wdata(1); -- enable user-level access to time[h]
1953
                csr.mcounteren_ir <= csr.wdata(2); -- enable user-level access to instret[h]
1954 51 zero_gravi
              end if;
1955 52 zero_gravi
            end if;
1956
          end if;
1957 29 zero_gravi
 
1958 52 zero_gravi
          -- machine trap handling --
1959
          -- --------------------------------------------------------------------
1960 69 zero_gravi
          if (csr.addr(11 downto 4) = csr_class_trap_c) then -- machine trap handling CSR class
1961 52 zero_gravi
            -- R/W: mscratch - machine scratch register --
1962 69 zero_gravi
            if (csr.addr(3 downto 0) = csr_mscratch_c(3 downto 0)) then
1963 36 zero_gravi
              csr.mscratch <= csr.wdata;
1964 52 zero_gravi
            end if;
1965
            -- R/W: mepc - machine exception program counter --
1966 69 zero_gravi
            if (csr.addr(3 downto 0) = csr_mepc_c(3 downto 0)) then
1967 64 zero_gravi
              csr.mepc <= csr.wdata;
1968 52 zero_gravi
            end if;
1969
            -- R/W: mcause - machine trap cause --
1970 69 zero_gravi
            if (csr.addr(3 downto 0) = csr_mcause_c(3 downto 0)) then
1971 68 zero_gravi
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: async/interrupt, 0: sync/exception
1972 36 zero_gravi
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
1973 52 zero_gravi
            end if;
1974 69 zero_gravi
            -- R/W: mip - machine interrupt pending --
1975 71 zero_gravi
            if (csr.addr(3 downto 0) = csr_mip_c(3 downto 0)) then
1976 69 zero_gravi
              csr.mip_clr <= csr.wdata(31 downto 16);
1977
            end if;
1978 52 zero_gravi
          end if;
1979 29 zero_gravi
 
1980 52 zero_gravi
          -- physical memory protection: R/W: pmpcfg* - PMP configuration registers --
1981
          -- --------------------------------------------------------------------
1982 56 zero_gravi
          if (PMP_NUM_REGIONS > 0) then
1983
            if (csr.addr(11 downto 4) = csr_class_pmpcfg_c) then -- pmp configuration CSR class
1984 52 zero_gravi
              for i in 0 to PMP_NUM_REGIONS-1 loop
1985
                if (csr.addr(3 downto 0) = std_ulogic_vector(to_unsigned(i, 4))) then
1986
                  if (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpcfg access
1987
                    csr.pmpcfg(i)(0) <= csr.wdata((i mod 4)*8+0); -- R (rights.read)
1988
                    csr.pmpcfg(i)(1) <= csr.wdata((i mod 4)*8+1); -- W (rights.write)
1989
                    csr.pmpcfg(i)(2) <= csr.wdata((i mod 4)*8+2); -- X (rights.execute)
1990
                    csr.pmpcfg(i)(3) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_L
1991
                    csr.pmpcfg(i)(4) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_H - NAPOT/OFF only
1992
                    csr.pmpcfg(i)(5) <= '0'; -- reserved
1993
                    csr.pmpcfg(i)(6) <= '0'; -- reserved
1994
                    csr.pmpcfg(i)(7) <= csr.wdata((i mod 4)*8+7); -- L (locked / rights also enforced in m-mode)
1995 36 zero_gravi
                  end if;
1996 52 zero_gravi
                end if;
1997
              end loop; -- i (PMP regions)
1998
            end if;
1999
          end if;
2000 4 zero_gravi
 
2001 52 zero_gravi
          -- physical memory protection: R/W: pmpaddr* - PMP address registers --
2002
          -- --------------------------------------------------------------------
2003 56 zero_gravi
          if (PMP_NUM_REGIONS > 0) then
2004
            if (csr.addr(11 downto 4) =  csr_pmpaddr0_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr16_c(11 downto 4)) or
2005
               (csr.addr(11 downto 4) = csr_pmpaddr32_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr48_c(11 downto 4)) then
2006 52 zero_gravi
              for i in 0 to PMP_NUM_REGIONS-1 loop
2007
                if (csr.addr(6 downto 0) = std_ulogic_vector(unsigned(csr_pmpaddr0_c(6 downto 0)) + i)) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
2008
                  csr.pmpaddr(i) <= csr.wdata;
2009
                  csr.pmpaddr(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2010
                end if;
2011
              end loop; -- i (PMP regions)
2012
            end if;
2013
          end if;
2014 2 zero_gravi
 
2015 52 zero_gravi
          -- machine counter setup --
2016
          -- --------------------------------------------------------------------
2017 56 zero_gravi
          if (csr.addr(11 downto 5) = csr_cnt_setup_c) then -- counter configuration CSR class
2018
            -- R/W: mcountinhibit - machine counter-inhibit register --
2019
            if (csr.addr(4 downto 0) = csr_mcountinhibit_c(4 downto 0)) then
2020 65 zero_gravi
              csr.mcountinhibit_cy <= csr.wdata(0); -- enable auto-increment of [m]cycle[h] counter
2021
              csr.mcountinhibit_ir <= csr.wdata(2); -- enable auto-increment of [m]instret[h] counter
2022 63 zero_gravi
              if (HPM_NUM_CNTS > 0) then -- any HPMs available?
2023
                csr.mcountinhibit_hpm <= csr.wdata(csr.mcountinhibit_hpm'left+3 downto 3); -- enable auto-increment of [m]hpmcounter*[h] counter
2024
              end if;
2025 56 zero_gravi
            end if;
2026 66 zero_gravi
            -- R/W: mhpmevent - machine performance-monitors event selector --
2027
            if (HPM_NUM_CNTS > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2028 52 zero_gravi
              for i in 0 to HPM_NUM_CNTS-1 loop
2029
                if (csr.addr(4 downto 0) = std_ulogic_vector(to_unsigned(i+3, 5))) then
2030
                  csr.mhpmevent(i) <= csr.wdata(csr.mhpmevent(i)'left downto 0);
2031
                end if;
2032 56 zero_gravi
                csr.mhpmevent(i)(hpmcnt_event_never_c) <= '0'; -- would be used for "TIME"
2033 52 zero_gravi
              end loop; -- i (CSRs)
2034
            end if;
2035
          end if;
2036 42 zero_gravi
 
2037 59 zero_gravi
          -- debug mode CSRs --
2038
          -- --------------------------------------------------------------------
2039
          if (CPU_EXTENSION_RISCV_DEBUG = true) then
2040
            if (csr.addr(11 downto 2) = csr_class_debug_c) then -- debug CSR class
2041
              -- R/W: dcsr - debug mode control and status register --
2042
              if (csr.addr(1 downto 0) = csr_dcsr_c(1 downto 0)) then
2043
                csr.dcsr_ebreakm <= csr.wdata(15);
2044
                csr.dcsr_step    <= csr.wdata(2);
2045
                if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
2046
                  csr.dcsr_ebreaku <= csr.wdata(12);
2047 65 zero_gravi
                  csr.dcsr_prv(0)  <= csr.wdata(1) or csr.wdata(0);
2048
                  csr.dcsr_prv(1)  <= csr.wdata(1) or csr.wdata(0);
2049 59 zero_gravi
                else -- only machine mode is available
2050
                  csr.dcsr_prv <= priv_mode_m_c;
2051
                end if;
2052
              end if;
2053
              -- R/W: dpc - debug mode program counter --
2054
              if (csr.addr(1 downto 0) = csr_dpc_c(1 downto 0)) then
2055 64 zero_gravi
                csr.dpc <= csr.wdata(data_width_c-1 downto 1) & '0';
2056 59 zero_gravi
              end if;
2057
              -- R/W: dscratch0 - debug mode scratch register 0 --
2058
              if (csr.addr(1 downto 0) = csr_dscratch0_c(1 downto 0)) then
2059
                csr.dscratch0 <= csr.wdata;
2060
              end if;
2061
            end if;
2062
          end if;
2063 29 zero_gravi
 
2064 59 zero_gravi
 
2065 36 zero_gravi
        -- --------------------------------------------------------------------------------
2066
        -- CSR access by hardware
2067
        -- --------------------------------------------------------------------------------
2068
        else
2069
 
2070 52 zero_gravi
          -- floating-point (FPU) exception flags --
2071
          -- --------------------------------------------------------------------
2072 68 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) and (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- no illegal instruction
2073 52 zero_gravi
            csr.fflags <= csr.fflags or fpu_flags_i; -- accumulate flags ("accrued exception flags")
2074
          end if;
2075
 
2076 71 zero_gravi
          -- TRAP ENTER: write machine trap cause, PC and trap value register --
2077 36 zero_gravi
          -- --------------------------------------------------------------------
2078
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
2079 66 zero_gravi
 
2080 59 zero_gravi
            if (CPU_EXTENSION_RISCV_DEBUG = false) or ((trap_ctrl.cause(5) = '0') and -- update mtval/mepc/mcause only when NOT ENTRY debug mode exception
2081
                                                       (debug_ctrl.running = '0')) then -- and NOT IN debug mode
2082
 
2083
              -- trap cause ID code --
2084
              csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
2085
              csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
2086
 
2087
              -- trap PC --
2088
              if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source)
2089
                csr.mepc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2090
              else -- for sync. EXCEPTIONS (sync source)
2091
                csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2092
              end if;
2093
 
2094
              -- trap value --
2095 65 zero_gravi
              cause_v := trap_ctrl.cause;
2096
              cause_v(5) := '0'; -- bit 5 is always zero here (= normal trapping), so we do not need to check that again
2097
              case cause_v is
2098 59 zero_gravi
                when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error
2099
                  csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
2100
                when trap_brk_c => -- breakpoint
2101 65 zero_gravi
                  csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction
2102 59 zero_gravi
                when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error
2103
                  csr.mtval <= mar_i; -- faulting data access address
2104
                when trap_iil_c => -- illegal instruction
2105
                  csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
2106
                when others => -- everything else including all interrupts
2107
                  csr.mtval <= (others => '0');
2108
              end case;
2109
 
2110 40 zero_gravi
            end if;
2111 59 zero_gravi
 
2112 61 zero_gravi
            -- DEBUG MODE (trap) enter: write dpc and dcsr --
2113 59 zero_gravi
            -- --------------------------------------------------------------------
2114
            if (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.cause(5) = '1') and (debug_ctrl.running = '0') then -- debug mode entry exception
2115
 
2116
              -- trap cause ID code --
2117
              csr.dcsr_cause <= trap_ctrl.cause(2 downto 0); -- why did we enter debug mode?
2118
              -- current privilege mode when debug mode was entered --
2119
              csr.dcsr_prv <= csr.privilege;
2120
 
2121
              -- trap PC --
2122
              if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source)
2123
                csr.dpc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2124
              else -- for sync. EXCEPTIONS (sync source)
2125
                csr.dpc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2126
              end if;
2127
 
2128
            end if;
2129
 
2130 2 zero_gravi
          end if;
2131
 
2132 36 zero_gravi
          -- mstatus: context switch --
2133
          -- --------------------------------------------------------------------
2134 59 zero_gravi
          -- ENTER: trap handling starting?
2135 66 zero_gravi
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
2136
 
2137 59 zero_gravi
            if (CPU_EXTENSION_RISCV_DEBUG = false) or -- normal trapping (debug mode NOT implemented)
2138
               ((debug_ctrl.running = '0') and (trap_ctrl.cause(5) = '0')) then -- not IN debug mode and not ENTERING debug mode
2139
              csr.mstatus_mie  <= '0'; -- disable interrupts
2140
              csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
2141
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2142
                csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
2143
                csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
2144
              end if;
2145 2 zero_gravi
            end if;
2146 59 zero_gravi
 
2147
          -- EXIT: return from exception
2148
          elsif (trap_ctrl.env_end = '1') then
2149
            if (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') then -- return from debug mode
2150
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2151
                csr.privilege <= csr.dcsr_prv;
2152
              end if;
2153
            else -- return from "normal trap"
2154
              csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
2155
              csr.mstatus_mpie <= '1';
2156
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2157
                csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
2158 60 zero_gravi
                csr.mstatus_mpp <= (others => '0');
2159 59 zero_gravi
              end if;
2160 30 zero_gravi
            end if;
2161 2 zero_gravi
          end if;
2162 59 zero_gravi
 
2163 52 zero_gravi
        end if; -- /hardware csr access
2164
      end if;
2165 29 zero_gravi
 
2166 52 zero_gravi
      -- --------------------------------------------------------------------------------
2167
      -- override write access for disabled functions
2168
      -- --------------------------------------------------------------------------------
2169
 
2170
      -- user mode disabled --
2171
      if (CPU_EXTENSION_RISCV_U = false) then
2172 61 zero_gravi
        csr.privilege     <= priv_mode_m_c;
2173
        csr.mstatus_mpp   <= priv_mode_m_c;
2174
        csr.mcounteren_cy <= '0';
2175
        csr.mcounteren_tm <= '0';
2176
        csr.mcounteren_ir <= '0';
2177
        csr.dcsr_ebreaku  <= '0';
2178
        csr.dcsr_prv      <= priv_mode_m_c;
2179 34 zero_gravi
      end if;
2180 52 zero_gravi
 
2181
      -- pmp disabled --
2182
      if (PMP_NUM_REGIONS = 0) then
2183
        csr.pmpcfg  <= (others => (others => '0'));
2184
        csr.pmpaddr <= (others => (others => '1'));
2185
      end if;
2186
 
2187
      -- hpms disabled --
2188
      if (HPM_NUM_CNTS = 0) then
2189
        csr.mhpmevent         <= (others => (others => '0'));
2190
        csr.mcountinhibit_hpm <= (others => '0');
2191
      end if;
2192
 
2193 56 zero_gravi
      -- cpu counters disabled --
2194
      if (CPU_CNT_WIDTH = 0) then
2195
        csr.mcounteren_cy    <= '0';
2196
        csr.mcounteren_ir    <= '0';
2197
        csr.mcountinhibit_cy <= '0';
2198
        csr.mcountinhibit_ir <= '0';
2199
      end if;
2200
 
2201 52 zero_gravi
      -- floating-point extension disabled --
2202 53 zero_gravi
      if (CPU_EXTENSION_RISCV_Zfinx = false) then
2203 63 zero_gravi
        csr.fflags <= (others => '0');
2204
        csr.frm    <= (others => '0');
2205 52 zero_gravi
      end if;
2206
 
2207 59 zero_gravi
      -- debug mode disabled --
2208
      if (CPU_EXTENSION_RISCV_DEBUG = false) then
2209
        csr.dcsr_ebreakm <= '0';
2210
        csr.dcsr_ebreaku <= '0';
2211
        csr.dcsr_step    <= '0';
2212
        csr.dcsr_cause   <= (others => '0');
2213
        csr.dpc          <= (others => '0');
2214
        csr.dscratch0    <= (others => '0');
2215
      end if;
2216
 
2217 2 zero_gravi
    end if;
2218
  end process csr_write_access;
2219
 
2220 56 zero_gravi
  -- decode current privilege mode --
2221 61 zero_gravi
  csr.privilege_rd <= priv_mode_m_c when (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') else csr.privilege; -- effective privilege mode ("machine" when in debug mode)
2222 59 zero_gravi
  csr.priv_m_mode  <= '1' when (csr.privilege_rd = priv_mode_m_c) else '0';
2223
  csr.priv_u_mode  <= '1' when (csr.privilege_rd = priv_mode_u_c) and (CPU_EXTENSION_RISCV_U = true) else '0';
2224 40 zero_gravi
 
2225 36 zero_gravi
  -- PMP configuration output to bus unit --
2226 34 zero_gravi
  pmp_output: process(csr)
2227
  begin
2228
    pmp_addr_o <= (others => (others => '0'));
2229
    pmp_ctrl_o <= (others => (others => '0'));
2230 56 zero_gravi
    if (PMP_NUM_REGIONS /= 0) then
2231
      for i in 0 to PMP_NUM_REGIONS-1 loop
2232
        pmp_addr_o(i) <= csr.pmpaddr(i) & "11";
2233
        pmp_addr_o(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2234
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
2235
      end loop; -- i
2236
    end if;
2237 42 zero_gravi
  end process pmp_output;
2238
 
2239 58 zero_gravi
  -- PMP config read dummy --
2240 42 zero_gravi
  pmp_rd_dummy: process(csr)
2241
  begin
2242
    csr.pmpcfg_rd  <= (others => (others => '0'));
2243 56 zero_gravi
    if (PMP_NUM_REGIONS /= 0) then
2244
      for i in 0 to PMP_NUM_REGIONS-1 loop
2245
        csr.pmpcfg_rd(i)  <= csr.pmpcfg(i);
2246
      end loop; -- i
2247
    end if;
2248 42 zero_gravi
  end process pmp_rd_dummy;
2249
 
2250
 
2251
  -- Control and Status Registers - Counters ------------------------------------------------
2252
  -- -------------------------------------------------------------------------------------------
2253 56 zero_gravi
  csr_counters: process(rstn_i, clk_i)
2254 42 zero_gravi
  begin
2255 68 zero_gravi
    -- Counter CSRs (each counter is split into two 32-bit counters - coupled via an MSB overflow FF)
2256 56 zero_gravi
    if (rstn_i = '0') then
2257 61 zero_gravi
      csr.mcycle           <= (others => def_rst_val_c);
2258
      csr.mcycle_ovfl      <= (others => def_rst_val_c);
2259
      csr.mcycleh          <= (others => def_rst_val_c);
2260
      csr.minstret         <= (others => def_rst_val_c);
2261
      csr.minstret_ovfl    <= (others => def_rst_val_c);
2262
      csr.minstreth        <= (others => def_rst_val_c);
2263
      csr.mhpmcounter      <= (others => (others => def_rst_val_c));
2264
      csr.mhpmcounter_ovfl <= (others => (others => def_rst_val_c));
2265
      csr.mhpmcounterh     <= (others => (others => def_rst_val_c));
2266 56 zero_gravi
    elsif rising_edge(clk_i) then
2267 42 zero_gravi
 
2268
      -- [m]cycle --
2269 66 zero_gravi
      if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2270 68 zero_gravi
        csr.mcycle_ovfl(0) <= csr.mcycle_nxt(csr.mcycle_nxt'left) and (not csr.mcountinhibit_cy);
2271 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_mcycle_c) then -- write access
2272 61 zero_gravi
          csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0);
2273 60 zero_gravi
        elsif (csr.mcountinhibit_cy = '0') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2274 61 zero_gravi
          csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle_nxt(cpu_cnt_lo_width_c-1 downto 0);
2275 60 zero_gravi
        end if;
2276
      else
2277 61 zero_gravi
        csr.mcycle <= (others => '-');
2278
        csr.mcycle_ovfl(0) <= '-';
2279 42 zero_gravi
      end if;
2280
 
2281
      -- [m]cycleh --
2282 66 zero_gravi
      if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2283 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_mcycleh_c) then -- write access
2284
          csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0);
2285 68 zero_gravi
        else -- automatic update
2286 61 zero_gravi
          csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mcycle_ovfl));
2287 60 zero_gravi
        end if;
2288
      else
2289
        csr.mcycleh <= (others => '-');
2290 42 zero_gravi
      end if;
2291
 
2292 60 zero_gravi
 
2293 42 zero_gravi
      -- [m]instret --
2294 66 zero_gravi
      if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2295 68 zero_gravi
        csr.minstret_ovfl(0) <= csr.minstret_nxt(csr.minstret_nxt'left) and (not csr.mcountinhibit_ir);
2296 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_minstret_c) then -- write access
2297 61 zero_gravi
          csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0);
2298 60 zero_gravi
        elsif (csr.mcountinhibit_ir = '0') and (cnt_event(hpmcnt_event_ir_c) = '1') then -- non-inhibited automatic update
2299 61 zero_gravi
          csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret_nxt(cpu_cnt_lo_width_c-1 downto 0);
2300 60 zero_gravi
        end if;
2301
      else
2302 61 zero_gravi
        csr.minstret <= (others => '-');
2303
        csr.minstret_ovfl(0) <= '-';
2304 42 zero_gravi
      end if;
2305
 
2306
      -- [m]instreth --
2307 66 zero_gravi
      if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2308 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_minstreth_c) then -- write access
2309
          csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0);
2310 68 zero_gravi
        else -- automatic update
2311 61 zero_gravi
          csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.minstreth(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.minstret_ovfl));
2312 60 zero_gravi
        end if;
2313
      else
2314
        csr.minstreth <= (others => '-');
2315 42 zero_gravi
      end if;
2316
 
2317 60 zero_gravi
 
2318 45 zero_gravi
      -- [machine] hardware performance monitors (counters) --
2319 42 zero_gravi
      for i in 0 to HPM_NUM_CNTS-1 loop
2320 60 zero_gravi
 
2321
        -- [m]hpmcounter* --
2322 66 zero_gravi
        if (hpm_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2323 68 zero_gravi
          csr.mhpmcounter_ovfl(i)(0) <= csr.mhpmcounter_nxt(i)(csr.mhpmcounter_nxt(i)'left) and (not csr.mcountinhibit_hpm(i));
2324 56 zero_gravi
          if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3_c) + i)) then -- write access
2325 61 zero_gravi
            csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.wdata(hpm_cnt_lo_width_c-1 downto 0);
2326 56 zero_gravi
          elsif (csr.mcountinhibit_hpm(i) = '0') and (hpmcnt_trigger(i) = '1') then -- non-inhibited automatic update
2327 61 zero_gravi
            csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter_nxt(i)(hpm_cnt_lo_width_c-1 downto 0);
2328 56 zero_gravi
          end if;
2329 60 zero_gravi
        else
2330 61 zero_gravi
          csr.mhpmcounter(i) <= (others => '-');
2331
          csr.mhpmcounter_ovfl(i)(0) <= '-';
2332 42 zero_gravi
        end if;
2333
 
2334
        -- [m]hpmcounter*h --
2335 66 zero_gravi
        if (hpm_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2336 56 zero_gravi
          if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3h_c) + i)) then -- write access
2337
            csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.wdata(hpm_cnt_hi_width_c-1 downto 0);
2338 68 zero_gravi
          else -- automatic update
2339 61 zero_gravi
            csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mhpmcounter_ovfl(i)));
2340 56 zero_gravi
          end if;
2341 60 zero_gravi
        else
2342
          csr.mhpmcounterh(i) <= (others => '-');
2343 42 zero_gravi
        end if;
2344 60 zero_gravi
 
2345 34 zero_gravi
      end loop; -- i
2346 42 zero_gravi
 
2347 34 zero_gravi
    end if;
2348 42 zero_gravi
  end process csr_counters;
2349 34 zero_gravi
 
2350 60 zero_gravi
 
2351 61 zero_gravi
  -- mcycle & minstret increment LOW --
2352 68 zero_gravi
  csr.mcycle_nxt   <= std_ulogic_vector(unsigned('0' & csr.mcycle)   + 1);
2353 61 zero_gravi
  csr.minstret_nxt <= std_ulogic_vector(unsigned('0' & csr.minstret) + 1);
2354
 
2355
  -- hpm counter increment LOW --
2356
  hmp_cnt_lo_inc:
2357
  for i in 0 to HPM_NUM_CNTS-1 generate
2358
    csr.mhpmcounter_nxt(i) <= std_ulogic_vector(unsigned('0' & csr.mhpmcounter(i)) + 1);
2359
  end generate;
2360
 
2361
 
2362
  -- hpm counter read --
2363 42 zero_gravi
  hpm_rd_dummy: process(csr)
2364
  begin
2365
    csr.mhpmcounter_rd  <= (others => (others => '0'));
2366
    csr.mhpmcounterh_rd <= (others => (others => '0'));
2367 66 zero_gravi
    if (HPM_NUM_CNTS /= 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2368 56 zero_gravi
      for i in 0 to HPM_NUM_CNTS-1 loop
2369
        if (hpm_cnt_lo_width_c > 0) then
2370 59 zero_gravi
          csr.mhpmcounter_rd(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0);
2371 56 zero_gravi
        end if;
2372
        if (hpm_cnt_hi_width_c > 0) then
2373
          csr.mhpmcounterh_rd(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0);
2374
        end if;
2375
      end loop; -- i
2376
    end if;
2377 42 zero_gravi
  end process hpm_rd_dummy;
2378 34 zero_gravi
 
2379 42 zero_gravi
 
2380 56 zero_gravi
  -- Hardware Performance Monitor - Counter Event Control -----------------------------------
2381 42 zero_gravi
  -- -------------------------------------------------------------------------------------------
2382 56 zero_gravi
  hpmcnt_ctrl: process(rstn_i, clk_i)
2383 42 zero_gravi
  begin
2384 56 zero_gravi
    if (rstn_i = '0') then
2385
      hpmcnt_trigger <= (others => def_rst_val_c);
2386
    elsif rising_edge(clk_i) then
2387 47 zero_gravi
      -- enable selected triggers by ANDing actual events and according CSR configuration bits --
2388
      -- OR everything to see if counter should increment --
2389 42 zero_gravi
      hpmcnt_trigger <= (others => '0'); -- default
2390 56 zero_gravi
      if (HPM_NUM_CNTS /= 0) then
2391
        for i in 0 to HPM_NUM_CNTS-1 loop
2392 60 zero_gravi
          hpmcnt_trigger(i) <= or_reduce_f(cnt_event and csr.mhpmevent(i)(cnt_event'left downto 0));
2393 56 zero_gravi
        end loop; -- i
2394
      end if;
2395 42 zero_gravi
    end if;
2396
  end process hpmcnt_ctrl;
2397
 
2398 56 zero_gravi
  -- counter event trigger - RISC-V-specific --
2399 68 zero_gravi
  cnt_event(hpmcnt_event_cy_c)      <= not execute_engine.sleep; -- active cycle
2400 70 zero_gravi
  cnt_event(hpmcnt_event_never_c)   <= '0'; -- "never"
2401
  cnt_event(hpmcnt_event_ir_c)      <= '1' when (execute_engine.state = EXECUTE) else '0'; -- (any) retired instruction
2402 42 zero_gravi
 
2403
  -- counter event trigger - custom / NEORV32-specific --
2404 68 zero_gravi
  cnt_event(hpmcnt_event_cir_c)     <= '1' when (execute_engine.state = EXECUTE)      and (execute_engine.is_ci = '1')             else '0'; -- retired compressed instruction
2405
  cnt_event(hpmcnt_event_wait_if_c) <= '1' when (fetch_engine.state   = IFETCH_ISSUE) and (fetch_engine.state_prev = IFETCH_ISSUE) else '0'; -- instruction fetch memory wait cycle
2406
  cnt_event(hpmcnt_event_wait_ii_c) <= '1' when (execute_engine.state = DISPATCH)     and (execute_engine.state_prev = DISPATCH)   else '0'; -- instruction issue wait cycle
2407
  cnt_event(hpmcnt_event_wait_mc_c) <= '1' when (execute_engine.state = ALU_WAIT)                                                  else '0'; -- multi-cycle alu-operation wait cycle
2408 42 zero_gravi
 
2409 68 zero_gravi
  cnt_event(hpmcnt_event_load_c)    <= '1' when                                          (ctrl(ctrl_bus_rd_c) = '1')               else '0'; -- load operation
2410
  cnt_event(hpmcnt_event_store_c)   <= '1' when                                          (ctrl(ctrl_bus_wr_c) = '1')               else '0'; -- store operation
2411
  cnt_event(hpmcnt_event_wait_ls_c) <= '1' when (execute_engine.state = LOADSTORE_2) and (execute_engine.state_prev = LOADSTORE_2) else '0'; -- load/store memory wait cycle
2412 42 zero_gravi
 
2413 68 zero_gravi
  cnt_event(hpmcnt_event_jump_c)    <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') else '0'; -- jump (unconditional)
2414
  cnt_event(hpmcnt_event_branch_c)  <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') else '0'; -- branch (conditional, taken or not taken)
2415
  cnt_event(hpmcnt_event_tbranch_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') and (execute_engine.branch_taken = '1') else '0'; -- taken branch (conditional)
2416 42 zero_gravi
 
2417 68 zero_gravi
  cnt_event(hpmcnt_event_trap_c)    <= '1' when (trap_ctrl.env_start_ack = '1')                                    else '0'; -- entered trap
2418
  cnt_event(hpmcnt_event_illegal_c) <= '1' when (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause = trap_iil_c) else '0'; -- illegal operation
2419 42 zero_gravi
 
2420
 
2421 52 zero_gravi
  -- Control and Status Registers - Read Access ---------------------------------------------
2422 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2423 56 zero_gravi
  csr_read_access: process(rstn_i, clk_i)
2424 64 zero_gravi
    variable csr_addr_v : std_ulogic_vector(11 downto 0);
2425 2 zero_gravi
  begin
2426 61 zero_gravi
    if rising_edge(clk_i) then
2427 71 zero_gravi
      csr.rdata <= (others => '0'); -- default output, unimplemented CSRs are hardwired to zero
2428 65 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
2429 64 zero_gravi
        csr_addr_v(11 downto 10) := csr.addr(11 downto 10);
2430
        csr_addr_v(09 downto 08) := (others => csr.addr(8)); -- !!! WARNING: MACHINE (11) and USER (00) registers ONLY !!!
2431
        csr_addr_v(07 downto 00) := csr.addr(07 downto 00);
2432
        case csr_addr_v is
2433 11 zero_gravi
 
2434 58 zero_gravi
          -- floating-point CSRs --
2435 52 zero_gravi
          -- --------------------------------------------------------------------
2436 59 zero_gravi
          when csr_fflags_c => -- fflags (r/w): floating-point (FPU) exception flags
2437
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if;
2438
          when csr_frm_c => -- frm (r/w): floating-point (FPU) rounding mode
2439
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(2 downto 0) <= csr.frm; else NULL; end if;
2440
          when csr_fcsr_c => -- fcsr (r/w): floating-point (FPU) control/status (frm + fflags)
2441
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(7 downto 5) <= csr.frm; csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if;
2442 52 zero_gravi
 
2443 11 zero_gravi
          -- machine trap setup --
2444 59 zero_gravi
          -- --------------------------------------------------------------------
2445
          when csr_mstatus_c => -- mstatus (r/w): machine status register
2446 41 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie; -- MIE
2447 27 zero_gravi
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
2448 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
2449
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
2450 59 zero_gravi
          when csr_misa_c => -- misa (r/-): ISA and extensions
2451 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
2452 66 zero_gravi
            csr.rdata(01) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B);     -- B CPU extension
2453 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
2454
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
2455
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
2456
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
2457
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
2458
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
2459
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
2460
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
2461 59 zero_gravi
          when csr_mie_c => -- mie (r/w): machine interrupt-enable register
2462 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
2463
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
2464
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
2465 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 enable
2466
              csr.rdata(16+i) <= csr.mie_firqe(i);
2467
            end loop; -- i
2468 59 zero_gravi
          when csr_mtvec_c => -- mtvec (r/w): machine trap-handler base address (for ALL exceptions)
2469 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
2470 59 zero_gravi
          when csr_mcounteren_c => -- mcounteren (r/w): machine counter enable register
2471 58 zero_gravi
            if (CPU_EXTENSION_RISCV_U = false) then -- this CSR is hardwired to zero if user mode is not implemented
2472
              NULL;
2473
            else
2474 51 zero_gravi
              csr.rdata(0) <= csr.mcounteren_cy; -- enable user-level access to cycle[h]
2475
              csr.rdata(1) <= csr.mcounteren_tm; -- enable user-level access to time[h]
2476
              csr.rdata(2) <= csr.mcounteren_ir; -- enable user-level access to instret[h]
2477
            end if;
2478 11 zero_gravi
 
2479
          -- machine trap handling --
2480 59 zero_gravi
          -- --------------------------------------------------------------------
2481
          when csr_mscratch_c => -- mscratch (r/w): machine scratch register
2482 27 zero_gravi
            csr.rdata <= csr.mscratch;
2483 59 zero_gravi
          when csr_mepc_c => -- mepc (r/w): machine exception program counter
2484 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
2485 59 zero_gravi
          when csr_mcause_c => -- mcause (r/w): machine trap cause
2486 49 zero_gravi
            csr.rdata(31) <= csr.mcause(csr.mcause'left);
2487
            csr.rdata(csr.mcause'left-1 downto 0) <= csr.mcause(csr.mcause'left-1 downto 0);
2488 62 zero_gravi
          when csr_mtval_c => -- mtval (r/-): machine bad address or instruction
2489 27 zero_gravi
            csr.rdata <= csr.mtval;
2490 69 zero_gravi
          when csr_mip_c => -- mip (r/w): machine interrupt pending
2491 58 zero_gravi
            csr.rdata(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c);
2492
            csr.rdata(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c);
2493
            csr.rdata(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c);
2494 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 pending
2495 58 zero_gravi
              csr.rdata(16+i) <= trap_ctrl.irq_buf(interrupt_firq_0_c+i);
2496 48 zero_gravi
            end loop; -- i
2497 11 zero_gravi
 
2498 63 zero_gravi
          -- physical memory protection - configuration (r/w) --
2499 59 zero_gravi
          -- --------------------------------------------------------------------
2500 63 zero_gravi
          when csr_pmpcfg0_c  => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpcfg_rd(03) & csr.pmpcfg_rd(02) & csr.pmpcfg_rd(01) & csr.pmpcfg_rd(00); else NULL; end if;
2501
          when csr_pmpcfg1_c  => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpcfg_rd(07) & csr.pmpcfg_rd(06) & csr.pmpcfg_rd(05) & csr.pmpcfg_rd(04); else NULL; end if;
2502
          when csr_pmpcfg2_c  => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpcfg_rd(11) & csr.pmpcfg_rd(10) & csr.pmpcfg_rd(09) & csr.pmpcfg_rd(08); else NULL; end if;
2503
          when csr_pmpcfg3_c  => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpcfg_rd(15) & csr.pmpcfg_rd(14) & csr.pmpcfg_rd(13) & csr.pmpcfg_rd(12); else NULL; end if;
2504
          when csr_pmpcfg4_c  => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpcfg_rd(19) & csr.pmpcfg_rd(18) & csr.pmpcfg_rd(17) & csr.pmpcfg_rd(16); else NULL; end if;
2505
          when csr_pmpcfg5_c  => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpcfg_rd(23) & csr.pmpcfg_rd(22) & csr.pmpcfg_rd(21) & csr.pmpcfg_rd(20); else NULL; end if;
2506
          when csr_pmpcfg6_c  => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpcfg_rd(27) & csr.pmpcfg_rd(26) & csr.pmpcfg_rd(25) & csr.pmpcfg_rd(24); else NULL; end if;
2507
          when csr_pmpcfg7_c  => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpcfg_rd(31) & csr.pmpcfg_rd(30) & csr.pmpcfg_rd(29) & csr.pmpcfg_rd(28); else NULL; end if;
2508
          when csr_pmpcfg8_c  => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpcfg_rd(35) & csr.pmpcfg_rd(34) & csr.pmpcfg_rd(33) & csr.pmpcfg_rd(32); else NULL; end if;
2509
          when csr_pmpcfg9_c  => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpcfg_rd(39) & csr.pmpcfg_rd(38) & csr.pmpcfg_rd(37) & csr.pmpcfg_rd(36); else NULL; end if;
2510
          when csr_pmpcfg10_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpcfg_rd(43) & csr.pmpcfg_rd(42) & csr.pmpcfg_rd(41) & csr.pmpcfg_rd(40); else NULL; end if;
2511
          when csr_pmpcfg11_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpcfg_rd(47) & csr.pmpcfg_rd(46) & csr.pmpcfg_rd(45) & csr.pmpcfg_rd(44); else NULL; end if;
2512
          when csr_pmpcfg12_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpcfg_rd(51) & csr.pmpcfg_rd(50) & csr.pmpcfg_rd(49) & csr.pmpcfg_rd(48); else NULL; end if;
2513
          when csr_pmpcfg13_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpcfg_rd(55) & csr.pmpcfg_rd(54) & csr.pmpcfg_rd(53) & csr.pmpcfg_rd(52); else NULL; end if;
2514
          when csr_pmpcfg14_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpcfg_rd(59) & csr.pmpcfg_rd(58) & csr.pmpcfg_rd(57) & csr.pmpcfg_rd(56); else NULL; end if;
2515
          when csr_pmpcfg15_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpcfg_rd(63) & csr.pmpcfg_rd(62) & csr.pmpcfg_rd(61) & csr.pmpcfg_rd(60); else NULL; end if;
2516 15 zero_gravi
 
2517 63 zero_gravi
          -- physical memory protection - addresses (r/w) --
2518 59 zero_gravi
          -- --------------------------------------------------------------------
2519 63 zero_gravi
          when csr_pmpaddr0_c  => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpaddr(00); else NULL; end if;
2520
          when csr_pmpaddr1_c  => if (PMP_NUM_REGIONS > 01) then csr.rdata <= csr.pmpaddr(01); else NULL; end if;
2521
          when csr_pmpaddr2_c  => if (PMP_NUM_REGIONS > 02) then csr.rdata <= csr.pmpaddr(02); else NULL; end if;
2522
          when csr_pmpaddr3_c  => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpaddr(03); else NULL; end if;
2523
          when csr_pmpaddr4_c  => if (PMP_NUM_REGIONS > 04) then csr.rdata <= csr.pmpaddr(04); else NULL; end if;
2524
          when csr_pmpaddr5_c  => if (PMP_NUM_REGIONS > 05) then csr.rdata <= csr.pmpaddr(05); else NULL; end if;
2525
          when csr_pmpaddr6_c  => if (PMP_NUM_REGIONS > 06) then csr.rdata <= csr.pmpaddr(06); else NULL; end if;
2526
          when csr_pmpaddr7_c  => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpaddr(07); else NULL; end if;
2527
          when csr_pmpaddr8_c  => if (PMP_NUM_REGIONS > 08) then csr.rdata <= csr.pmpaddr(08); else NULL; end if;
2528
          when csr_pmpaddr9_c  => if (PMP_NUM_REGIONS > 09) then csr.rdata <= csr.pmpaddr(09); else NULL; end if;
2529
          when csr_pmpaddr10_c => if (PMP_NUM_REGIONS > 10) then csr.rdata <= csr.pmpaddr(10); else NULL; end if;
2530
          when csr_pmpaddr11_c => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpaddr(11); else NULL; end if;
2531
          when csr_pmpaddr12_c => if (PMP_NUM_REGIONS > 12) then csr.rdata <= csr.pmpaddr(12); else NULL; end if;
2532
          when csr_pmpaddr13_c => if (PMP_NUM_REGIONS > 13) then csr.rdata <= csr.pmpaddr(13); else NULL; end if;
2533
          when csr_pmpaddr14_c => if (PMP_NUM_REGIONS > 14) then csr.rdata <= csr.pmpaddr(14); else NULL; end if;
2534
          when csr_pmpaddr15_c => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpaddr(15); else NULL; end if;
2535
          when csr_pmpaddr16_c => if (PMP_NUM_REGIONS > 16) then csr.rdata <= csr.pmpaddr(16); else NULL; end if;
2536
          when csr_pmpaddr17_c => if (PMP_NUM_REGIONS > 17) then csr.rdata <= csr.pmpaddr(17); else NULL; end if;
2537
          when csr_pmpaddr18_c => if (PMP_NUM_REGIONS > 18) then csr.rdata <= csr.pmpaddr(18); else NULL; end if;
2538
          when csr_pmpaddr19_c => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpaddr(19); else NULL; end if;
2539
          when csr_pmpaddr20_c => if (PMP_NUM_REGIONS > 20) then csr.rdata <= csr.pmpaddr(20); else NULL; end if;
2540
          when csr_pmpaddr21_c => if (PMP_NUM_REGIONS > 21) then csr.rdata <= csr.pmpaddr(21); else NULL; end if;
2541
          when csr_pmpaddr22_c => if (PMP_NUM_REGIONS > 22) then csr.rdata <= csr.pmpaddr(22); else NULL; end if;
2542
          when csr_pmpaddr23_c => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpaddr(23); else NULL; end if;
2543
          when csr_pmpaddr24_c => if (PMP_NUM_REGIONS > 24) then csr.rdata <= csr.pmpaddr(24); else NULL; end if;
2544
          when csr_pmpaddr25_c => if (PMP_NUM_REGIONS > 25) then csr.rdata <= csr.pmpaddr(25); else NULL; end if;
2545
          when csr_pmpaddr26_c => if (PMP_NUM_REGIONS > 26) then csr.rdata <= csr.pmpaddr(26); else NULL; end if;
2546
          when csr_pmpaddr27_c => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpaddr(27); else NULL; end if;
2547
          when csr_pmpaddr28_c => if (PMP_NUM_REGIONS > 28) then csr.rdata <= csr.pmpaddr(28); else NULL; end if;
2548
          when csr_pmpaddr29_c => if (PMP_NUM_REGIONS > 29) then csr.rdata <= csr.pmpaddr(29); else NULL; end if;
2549
          when csr_pmpaddr30_c => if (PMP_NUM_REGIONS > 30) then csr.rdata <= csr.pmpaddr(30); else NULL; end if;
2550
          when csr_pmpaddr31_c => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpaddr(31); else NULL; end if;
2551
          when csr_pmpaddr32_c => if (PMP_NUM_REGIONS > 32) then csr.rdata <= csr.pmpaddr(32); else NULL; end if;
2552
          when csr_pmpaddr33_c => if (PMP_NUM_REGIONS > 33) then csr.rdata <= csr.pmpaddr(33); else NULL; end if;
2553
          when csr_pmpaddr34_c => if (PMP_NUM_REGIONS > 34) then csr.rdata <= csr.pmpaddr(34); else NULL; end if;
2554
          when csr_pmpaddr35_c => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpaddr(35); else NULL; end if;
2555
          when csr_pmpaddr36_c => if (PMP_NUM_REGIONS > 36) then csr.rdata <= csr.pmpaddr(36); else NULL; end if;
2556
          when csr_pmpaddr37_c => if (PMP_NUM_REGIONS > 37) then csr.rdata <= csr.pmpaddr(37); else NULL; end if;
2557
          when csr_pmpaddr38_c => if (PMP_NUM_REGIONS > 38) then csr.rdata <= csr.pmpaddr(38); else NULL; end if;
2558
          when csr_pmpaddr39_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpaddr(39); else NULL; end if;
2559
          when csr_pmpaddr40_c => if (PMP_NUM_REGIONS > 40) then csr.rdata <= csr.pmpaddr(40); else NULL; end if;
2560
          when csr_pmpaddr41_c => if (PMP_NUM_REGIONS > 41) then csr.rdata <= csr.pmpaddr(41); else NULL; end if;
2561
          when csr_pmpaddr42_c => if (PMP_NUM_REGIONS > 42) then csr.rdata <= csr.pmpaddr(42); else NULL; end if;
2562
          when csr_pmpaddr43_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpaddr(43); else NULL; end if;
2563
          when csr_pmpaddr44_c => if (PMP_NUM_REGIONS > 44) then csr.rdata <= csr.pmpaddr(44); else NULL; end if;
2564
          when csr_pmpaddr45_c => if (PMP_NUM_REGIONS > 45) then csr.rdata <= csr.pmpaddr(45); else NULL; end if;
2565
          when csr_pmpaddr46_c => if (PMP_NUM_REGIONS > 46) then csr.rdata <= csr.pmpaddr(46); else NULL; end if;
2566
          when csr_pmpaddr47_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpaddr(47); else NULL; end if;
2567
          when csr_pmpaddr48_c => if (PMP_NUM_REGIONS > 48) then csr.rdata <= csr.pmpaddr(48); else NULL; end if;
2568
          when csr_pmpaddr49_c => if (PMP_NUM_REGIONS > 49) then csr.rdata <= csr.pmpaddr(49); else NULL; end if;
2569
          when csr_pmpaddr50_c => if (PMP_NUM_REGIONS > 50) then csr.rdata <= csr.pmpaddr(50); else NULL; end if;
2570
          when csr_pmpaddr51_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpaddr(51); else NULL; end if;
2571
          when csr_pmpaddr52_c => if (PMP_NUM_REGIONS > 52) then csr.rdata <= csr.pmpaddr(52); else NULL; end if;
2572
          when csr_pmpaddr53_c => if (PMP_NUM_REGIONS > 53) then csr.rdata <= csr.pmpaddr(53); else NULL; end if;
2573
          when csr_pmpaddr54_c => if (PMP_NUM_REGIONS > 54) then csr.rdata <= csr.pmpaddr(54); else NULL; end if;
2574
          when csr_pmpaddr55_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpaddr(55); else NULL; end if;
2575
          when csr_pmpaddr56_c => if (PMP_NUM_REGIONS > 56) then csr.rdata <= csr.pmpaddr(56); else NULL; end if;
2576
          when csr_pmpaddr57_c => if (PMP_NUM_REGIONS > 57) then csr.rdata <= csr.pmpaddr(57); else NULL; end if;
2577
          when csr_pmpaddr58_c => if (PMP_NUM_REGIONS > 58) then csr.rdata <= csr.pmpaddr(58); else NULL; end if;
2578
          when csr_pmpaddr59_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpaddr(59); else NULL; end if;
2579
          when csr_pmpaddr60_c => if (PMP_NUM_REGIONS > 60) then csr.rdata <= csr.pmpaddr(60); else NULL; end if;
2580
          when csr_pmpaddr61_c => if (PMP_NUM_REGIONS > 61) then csr.rdata <= csr.pmpaddr(61); else NULL; end if;
2581
          when csr_pmpaddr62_c => if (PMP_NUM_REGIONS > 62) then csr.rdata <= csr.pmpaddr(62); else NULL; end if;
2582
          when csr_pmpaddr63_c => if (PMP_NUM_REGIONS > 63) then csr.rdata <= csr.pmpaddr(63); else NULL; end if;
2583 15 zero_gravi
 
2584 41 zero_gravi
          -- machine counter setup --
2585
          -- --------------------------------------------------------------------
2586 59 zero_gravi
          when csr_mcountinhibit_c => -- mcountinhibit (r/w): machine counter-inhibit register
2587 41 zero_gravi
            csr.rdata(0) <= csr.mcountinhibit_cy; -- enable auto-increment of [m]cycle[h] counter
2588
            csr.rdata(2) <= csr.mcountinhibit_ir; -- enable auto-increment of [m]instret[h] counter
2589 63 zero_gravi
            if (HPM_NUM_CNTS > 0) then -- any HPMs available?
2590
              csr.rdata(csr.mcountinhibit_hpm'left+3 downto 3) <= csr.mcountinhibit_hpm; -- enable auto-increment of [m]hpmcounterx[h] counter
2591
            end if;
2592 41 zero_gravi
 
2593 63 zero_gravi
          -- machine performance-monitoring event selector (r/w) --
2594 59 zero_gravi
          -- --------------------------------------------------------------------
2595 66 zero_gravi
          when csr_mhpmevent3_c  => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(00); else NULL; end if;
2596
          when csr_mhpmevent4_c  => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(01); else NULL; end if;
2597
          when csr_mhpmevent5_c  => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(02); else NULL; end if;
2598
          when csr_mhpmevent6_c  => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(03); else NULL; end if;
2599
          when csr_mhpmevent7_c  => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(04); else NULL; end if;
2600
          when csr_mhpmevent8_c  => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(05); else NULL; end if;
2601
          when csr_mhpmevent9_c  => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(06); else NULL; end if;
2602
          when csr_mhpmevent10_c => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(07); else NULL; end if;
2603
          when csr_mhpmevent11_c => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(08); else NULL; end if;
2604
          when csr_mhpmevent12_c => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(09); else NULL; end if;
2605
          when csr_mhpmevent13_c => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(10); else NULL; end if;
2606
          when csr_mhpmevent14_c => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(11); else NULL; end if;
2607
          when csr_mhpmevent15_c => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(12); else NULL; end if;
2608
          when csr_mhpmevent16_c => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(13); else NULL; end if;
2609
          when csr_mhpmevent17_c => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(14); else NULL; end if;
2610
          when csr_mhpmevent18_c => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(15); else NULL; end if;
2611
          when csr_mhpmevent19_c => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(16); else NULL; end if;
2612
          when csr_mhpmevent20_c => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(17); else NULL; end if;
2613
          when csr_mhpmevent21_c => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(18); else NULL; end if;
2614
          when csr_mhpmevent22_c => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(19); else NULL; end if;
2615
          when csr_mhpmevent23_c => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(20); else NULL; end if;
2616
          when csr_mhpmevent24_c => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(21); else NULL; end if;
2617
          when csr_mhpmevent25_c => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(22); else NULL; end if;
2618
          when csr_mhpmevent26_c => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(23); else NULL; end if;
2619
          when csr_mhpmevent27_c => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(24); else NULL; end if;
2620
          when csr_mhpmevent28_c => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(25); else NULL; end if;
2621
          when csr_mhpmevent29_c => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(26); else NULL; end if;
2622
          when csr_mhpmevent30_c => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(27); else NULL; end if;
2623
          when csr_mhpmevent31_c => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(28); else NULL; end if;
2624 42 zero_gravi
 
2625 29 zero_gravi
          -- counters and timers --
2626 59 zero_gravi
          -- --------------------------------------------------------------------
2627
          when csr_cycle_c | csr_mcycle_c => -- [m]cycle (r/w): Cycle counter LOW
2628 66 zero_gravi
            if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if;
2629 59 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- [m]cycleh (r/w): Cycle counter HIGH
2630 66 zero_gravi
            if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if;
2631 58 zero_gravi
 
2632 59 zero_gravi
          when csr_instret_c | csr_minstret_c => -- [m]instret (r/w): Instructions-retired counter LOW
2633 66 zero_gravi
            if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if;
2634 59 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- [m]instreth (r/w): Instructions-retired counter HIGH
2635 66 zero_gravi
            if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.minstreth(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if;
2636 58 zero_gravi
 
2637 66 zero_gravi
          when csr_time_c => -- time (r/-): System time LOW (from MTIME unit)
2638
            if (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata <= time_i(31 downto 00); else NULL; end if;
2639
          when csr_timeh_c => -- timeh (r/-): System time HIGH (from MTIME unit)
2640
            if (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata <= time_i(63 downto 32); else NULL; end if;
2641 11 zero_gravi
 
2642 42 zero_gravi
          -- hardware performance counters --
2643 59 zero_gravi
          -- --------------------------------------------------------------------
2644 63 zero_gravi
          -- low word (r/w) --
2645 66 zero_gravi
          when csr_mhpmcounter3_c   => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(00); else NULL; end if;
2646
          when csr_mhpmcounter4_c   => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(01); else NULL; end if;
2647
          when csr_mhpmcounter5_c   => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(02); else NULL; end if;
2648
          when csr_mhpmcounter6_c   => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(03); else NULL; end if;
2649
          when csr_mhpmcounter7_c   => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(04); else NULL; end if;
2650
          when csr_mhpmcounter8_c   => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(05); else NULL; end if;
2651
          when csr_mhpmcounter9_c   => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(06); else NULL; end if;
2652
          when csr_mhpmcounter10_c  => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(07); else NULL; end if;
2653
          when csr_mhpmcounter11_c  => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(08); else NULL; end if;
2654
          when csr_mhpmcounter12_c  => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(09); else NULL; end if;
2655
          when csr_mhpmcounter13_c  => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(10); else NULL; end if;
2656
          when csr_mhpmcounter14_c  => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(11); else NULL; end if;
2657
          when csr_mhpmcounter15_c  => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(12); else NULL; end if;
2658
          when csr_mhpmcounter16_c  => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(13); else NULL; end if;
2659
          when csr_mhpmcounter17_c  => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(14); else NULL; end if;
2660
          when csr_mhpmcounter18_c  => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(15); else NULL; end if;
2661
          when csr_mhpmcounter19_c  => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(16); else NULL; end if;
2662
          when csr_mhpmcounter20_c  => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(17); else NULL; end if;
2663
          when csr_mhpmcounter21_c  => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(18); else NULL; end if;
2664
          when csr_mhpmcounter22_c  => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(19); else NULL; end if;
2665
          when csr_mhpmcounter23_c  => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(20); else NULL; end if;
2666
          when csr_mhpmcounter24_c  => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(21); else NULL; end if;
2667
          when csr_mhpmcounter25_c  => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(22); else NULL; end if;
2668
          when csr_mhpmcounter26_c  => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(23); else NULL; end if;
2669
          when csr_mhpmcounter27_c  => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(24); else NULL; end if;
2670
          when csr_mhpmcounter28_c  => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(25); else NULL; end if;
2671
          when csr_mhpmcounter29_c  => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(26); else NULL; end if;
2672
          when csr_mhpmcounter30_c  => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(27); else NULL; end if;
2673
          when csr_mhpmcounter31_c  => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(28); else NULL; end if;
2674 63 zero_gravi
          -- high word (r/w) --
2675 66 zero_gravi
          when csr_mhpmcounter3h_c  => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(00); else NULL; end if;
2676
          when csr_mhpmcounter4h_c  => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(01); else NULL; end if;
2677
          when csr_mhpmcounter5h_c  => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(02); else NULL; end if;
2678
          when csr_mhpmcounter6h_c  => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(03); else NULL; end if;
2679
          when csr_mhpmcounter7h_c  => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(04); else NULL; end if;
2680
          when csr_mhpmcounter8h_c  => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(05); else NULL; end if;
2681
          when csr_mhpmcounter9h_c  => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(06); else NULL; end if;
2682
          when csr_mhpmcounter10h_c => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(07); else NULL; end if;
2683
          when csr_mhpmcounter11h_c => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(08); else NULL; end if;
2684
          when csr_mhpmcounter12h_c => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(09); else NULL; end if;
2685
          when csr_mhpmcounter13h_c => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(10); else NULL; end if;
2686
          when csr_mhpmcounter14h_c => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(11); else NULL; end if;
2687
          when csr_mhpmcounter15h_c => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(12); else NULL; end if;
2688
          when csr_mhpmcounter16h_c => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(13); else NULL; end if;
2689
          when csr_mhpmcounter17h_c => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(14); else NULL; end if;
2690
          when csr_mhpmcounter18h_c => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(15); else NULL; end if;
2691
          when csr_mhpmcounter19h_c => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(16); else NULL; end if;
2692
          when csr_mhpmcounter20h_c => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(17); else NULL; end if;
2693
          when csr_mhpmcounter21h_c => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(18); else NULL; end if;
2694
          when csr_mhpmcounter22h_c => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(19); else NULL; end if;
2695
          when csr_mhpmcounter23h_c => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(20); else NULL; end if;
2696
          when csr_mhpmcounter24h_c => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(21); else NULL; end if;
2697
          when csr_mhpmcounter25h_c => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(22); else NULL; end if;
2698
          when csr_mhpmcounter26h_c => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(23); else NULL; end if;
2699
          when csr_mhpmcounter27h_c => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(24); else NULL; end if;
2700
          when csr_mhpmcounter28h_c => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(25); else NULL; end if;
2701
          when csr_mhpmcounter29h_c => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(26); else NULL; end if;
2702
          when csr_mhpmcounter30h_c => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(27); else NULL; end if;
2703
          when csr_mhpmcounter31h_c => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(28); else NULL; end if;
2704 42 zero_gravi
 
2705 11 zero_gravi
          -- machine information registers --
2706 59 zero_gravi
          -- --------------------------------------------------------------------
2707 63 zero_gravi
--        when csr_mvendorid_c  => NULL; -- mvendorid (r/-): vendor ID, implemented but always zero
2708 62 zero_gravi
          when csr_marchid_c    => csr.rdata(4 downto 0) <= "10011"; -- marchid (r/-): arch ID - official RISC-V open-source arch ID
2709
          when csr_mimpid_c     => csr.rdata <= hw_version_c; -- mimpid (r/-): implementation ID -- NEORV32 hardware version
2710
          when csr_mhartid_c    => csr.rdata <= std_ulogic_vector(to_unsigned(HW_THREAD_ID, 32)); -- mhartid (r/-): hardware thread ID
2711 65 zero_gravi
--        when csr_mconfigptr_c => NULL; -- mconfigptr (r/-): machine configuration pointer register, implemented but always zero
2712 11 zero_gravi
 
2713 59 zero_gravi
          -- debug mode CSRs --
2714
          -- --------------------------------------------------------------------
2715
          when csr_dcsr_c      => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dcsr_rd;   else NULL; end if; -- dcsr (r/w): debug mode control and status
2716
          when csr_dpc_c       => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dpc;       else NULL; end if; -- dpc (r/w): debug mode program counter
2717
          when csr_dscratch0_c => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dscratch0; else NULL; end if; -- dscratch0 (r/w): debug mode scratch register 0
2718
 
2719 11 zero_gravi
          -- undefined/unavailable --
2720 59 zero_gravi
          -- --------------------------------------------------------------------
2721 11 zero_gravi
          when others =>
2722 65 zero_gravi
            NULL; -- not implemented, read as zero
2723 11 zero_gravi
 
2724
        end case;
2725 2 zero_gravi
      end if;
2726
    end if;
2727
  end process csr_read_access;
2728
 
2729 27 zero_gravi
  -- CSR read data output --
2730
  csr_rdata_o <= csr.rdata;
2731
 
2732 12 zero_gravi
 
2733 71 zero_gravi
-- ****************************************************************************************************************************
2734
-- CPU Debug Mode (Part of the On-Chip Debugger)
2735
-- ****************************************************************************************************************************
2736
 
2737 59 zero_gravi
  -- Debug Control --------------------------------------------------------------------------
2738
  -- -------------------------------------------------------------------------------------------
2739
  debug_control: process(rstn_i, clk_i)
2740
  begin
2741
    if (rstn_i = '0') then
2742 68 zero_gravi
      debug_ctrl.state <= DEBUG_OFFLINE;
2743 64 zero_gravi
      debug_ctrl.ext_halt_req <= '0';
2744 59 zero_gravi
    elsif rising_edge(clk_i) then
2745
      if (CPU_EXTENSION_RISCV_DEBUG = true) then
2746
 
2747 68 zero_gravi
        -- external halt request (from Debug Module) --
2748 64 zero_gravi
        debug_ctrl.ext_halt_req <= db_halt_req_i;
2749 59 zero_gravi
 
2750
        -- state machine --
2751
        case debug_ctrl.state is
2752
 
2753
          when DEBUG_OFFLINE => -- not in debug mode, waiting for entering request
2754
            if (debug_ctrl.trig_halt = '1') or -- external request (from DM)
2755
               (debug_ctrl.trig_break = '1') or -- ebreak instruction
2756
               (debug_ctrl.trig_step = '1') then -- single-stepping mode
2757
              debug_ctrl.state <= DEBUG_PENDING;
2758
            end if;
2759
 
2760
          when DEBUG_PENDING => -- waiting to start debug mode
2761
            if (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause(5) = '1') then -- processing trap entry into debug mode
2762
              debug_ctrl.state <= DEBUG_ONLINE;
2763
            end if;
2764
 
2765
          when DEBUG_ONLINE => -- we are in debug mode
2766
            if (debug_ctrl.dret = '1') then -- DRET instruction
2767
              debug_ctrl.state <= DEBUG_EXIT;
2768
            end if;
2769
 
2770
          when DEBUG_EXIT => -- leaving debug mode
2771
            if (execute_engine.state = TRAP_EXECUTE) then -- processing trap exit
2772
              debug_ctrl.state <= DEBUG_OFFLINE;
2773
            end if;
2774
 
2775
          when others => -- undefined
2776
            debug_ctrl.state <= DEBUG_OFFLINE;
2777
 
2778
        end case;
2779
      else -- debug mode NOT implemented
2780 68 zero_gravi
        debug_ctrl.state <= DEBUG_OFFLINE;
2781 64 zero_gravi
        debug_ctrl.ext_halt_req <= '0';
2782 59 zero_gravi
      end if;
2783
    end if;
2784
  end process debug_control;
2785
 
2786
  -- state decoding --
2787
  debug_ctrl.pending <= '1' when (debug_ctrl.state = DEBUG_PENDING) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0';
2788
  debug_ctrl.running <= '1' when ((debug_ctrl.state = DEBUG_ONLINE) or (debug_ctrl.state = DEBUG_EXIT)) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0';
2789
 
2790
  -- entry debug mode triggers --
2791
  debug_ctrl.trig_break <= trap_ctrl.break_point and (debug_ctrl.running or -- we are in debug mode: re-enter debug mode
2792 60 zero_gravi
                           (csr.priv_m_mode and csr.dcsr_ebreakm and (not debug_ctrl.running)) or -- enabled goto-debug-mode in machine mode on "ebreak"
2793
                           (csr.priv_u_mode and csr.dcsr_ebreaku and (not debug_ctrl.running))); -- enabled goto-debug-mode in user mode on "ebreak"
2794 64 zero_gravi
  debug_ctrl.trig_halt <= debug_ctrl.ext_halt_req and (not debug_ctrl.running); -- external halt request (if not halted already)
2795 59 zero_gravi
  debug_ctrl.trig_step <= csr.dcsr_step and (not debug_ctrl.running); -- single-step mode (trigger when NOT CURRENTLY in debug mode)
2796
 
2797
 
2798
  -- Debug Control and Status Register (dcsr) - Read-Back -----------------------------------
2799
  -- -------------------------------------------------------------------------------------------
2800 71 zero_gravi
  csr.dcsr_rd(31 downto 28) <= "0100"; -- xdebugver: external debug support compatible to spec
2801
  csr.dcsr_rd(27 downto 16) <= (others => '0'); -- reserved
2802
  csr.dcsr_rd(15) <= csr.dcsr_ebreakm; -- ebreakm: what happens on ebreak in m-mode? (normal trap OR debug-enter)
2803
  csr.dcsr_rd(14) <= '0'; -- ebreakh: hypervisor mode not implemented
2804
  csr.dcsr_rd(13) <= '0'; -- ebreaks: supervisor mode not implemented
2805
  csr.dcsr_rd(12) <= csr.dcsr_ebreaku when (CPU_EXTENSION_RISCV_U = true) else '0'; -- ebreaku: what happens on ebreak in u-mode? (normal trap OR debug-enter)
2806
  csr.dcsr_rd(11) <= '0'; -- stepie: interrupts are disabled during single-stepping
2807
  csr.dcsr_rd(10) <= '0'; -- stopcount: counters increment as usual FIXME/TODO ???
2808
  csr.dcsr_rd(09) <= '0'; -- stoptime: timers increment as usual FIXME/TODO ???
2809
  csr.dcsr_rd(08 downto 06) <= csr.dcsr_cause; -- debug mode entry cause
2810
  csr.dcsr_rd(05) <= '0'; -- reserved
2811
  csr.dcsr_rd(04) <= '0'; -- mprven: mstatus.mprv is ignored in debug mode
2812
  csr.dcsr_rd(03) <= '0'; -- nmip: no pending non-maskable interrupt
2813
  csr.dcsr_rd(02) <= csr.dcsr_step; -- step: single-step mode
2814
  csr.dcsr_rd(01 downto 00) <= csr.dcsr_prv; -- prv: privilege mode when debug mode was entered
2815 59 zero_gravi
 
2816
 
2817 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.