OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_decompressor.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 49 zero_gravi
-- # << NEORV32 - CPU: Compressed Instructions Decoder (RISC-V "C" Extension) >>                   #
3 2 zero_gravi
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 49 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
use neorv32.neorv32_package.all;
41
 
42
entity neorv32_cpu_decompressor is
43
  port (
44
    -- instruction input --
45
    ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
46
    -- instruction output --
47
    ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
48
    ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
49
  );
50
end neorv32_cpu_decompressor;
51
 
52
architecture neorv32_cpu_decompressor_rtl of neorv32_cpu_decompressor is
53
 
54
  -- compressed instruction layout --
55
  constant ci_opcode_lsb_c : natural :=  0;
56
  constant ci_opcode_msb_c : natural :=  1;
57
  constant ci_rd_3_lsb_c   : natural :=  2;
58
  constant ci_rd_3_msb_c   : natural :=  4;
59
  constant ci_rd_5_lsb_c   : natural :=  7;
60
  constant ci_rd_5_msb_c   : natural := 11;
61
  constant ci_rs1_3_lsb_c  : natural :=  7;
62
  constant ci_rs1_3_msb_c  : natural :=  9;
63
  constant ci_rs1_5_lsb_c  : natural :=  7;
64
  constant ci_rs1_5_msb_c  : natural := 11;
65
  constant ci_rs2_3_lsb_c  : natural :=  2;
66
  constant ci_rs2_3_msb_c  : natural :=  4;
67
  constant ci_rs2_5_lsb_c  : natural :=  2;
68
  constant ci_rs2_5_msb_c  : natural :=  6;
69
  constant ci_funct3_lsb_c : natural := 13;
70
  constant ci_funct3_msb_c : natural := 15;
71
 
72
begin
73
 
74
  -- Compressed Instruction Decoder ---------------------------------------------------------
75
  -- -------------------------------------------------------------------------------------------
76
  decompressor: process(ci_instr16_i)
77
    variable imm20_v : std_ulogic_vector(20 downto 0);
78
    variable imm12_v : std_ulogic_vector(12 downto 0);
79
  begin
80
    -- defaults --
81
    ci_illegal_o <= '0';
82
    ci_instr32_o <= (others => '0');
83
 
84 58 zero_gravi
    -- helper: 22-bit sign-extended immediate for J/JAL --
85 2 zero_gravi
    imm20_v := (others => ci_instr16_i(12)); -- sign extension
86
    imm20_v(00):= '0';
87
    imm20_v(01):= ci_instr16_i(3);
88
    imm20_v(02):= ci_instr16_i(4);
89
    imm20_v(03):= ci_instr16_i(5);
90
    imm20_v(04):= ci_instr16_i(11);
91
    imm20_v(05):= ci_instr16_i(2);
92
    imm20_v(06):= ci_instr16_i(7);
93
    imm20_v(07):= ci_instr16_i(6);
94
    imm20_v(08):= ci_instr16_i(9);
95
    imm20_v(09):= ci_instr16_i(10);
96
    imm20_v(10):= ci_instr16_i(8);
97
    imm20_v(11):= ci_instr16_i(12);
98
 
99 58 zero_gravi
    -- helper: 12-bit sign-extended immediate for branches --
100 2 zero_gravi
    imm12_v := (others => ci_instr16_i(12)); -- sign extension
101
    imm12_v(00):= '0';
102
    imm12_v(01):= ci_instr16_i(3);
103
    imm12_v(02):= ci_instr16_i(4);
104
    imm12_v(03):= ci_instr16_i(10);
105
    imm12_v(04):= ci_instr16_i(11);
106
    imm12_v(05):= ci_instr16_i(2);
107
    imm12_v(06):= ci_instr16_i(5);
108
    imm12_v(07):= ci_instr16_i(6);
109
    imm12_v(08):= ci_instr16_i(12);
110
 
111
    -- actual decoder --
112
    case ci_instr16_i(ci_opcode_msb_c downto ci_opcode_lsb_c) is
113
 
114
      when "00" => -- C0: Register-Based Loads and Stores
115
        case ci_instr16_i(ci_funct3_msb_c downto ci_funct3_lsb_c) is
116
 
117
          when "000" => -- Illegal_instruction, C.ADDI4SPN
118
          -- ----------------------------------------------------------------------------------------------------------
119 65 zero_gravi
            -- C.ADDI4SPN
120
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c;
121
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "00010"; -- stack pointer
122
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= "01" & ci_instr16_i(ci_rd_3_msb_c downto ci_rd_3_lsb_c);
123
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c;
124
            ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c)   <= (others => '0'); -- zero extend
125
            ci_instr32_o(instr_imm12_lsb_c + 0)                        <= '0';
126
            ci_instr32_o(instr_imm12_lsb_c + 1)                        <= '0';
127
            ci_instr32_o(instr_imm12_lsb_c + 2)                        <= ci_instr16_i(6);
128
            ci_instr32_o(instr_imm12_lsb_c + 3)                        <= ci_instr16_i(5);
129
            ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(11);
130
            ci_instr32_o(instr_imm12_lsb_c + 5)                        <= ci_instr16_i(12);
131
            ci_instr32_o(instr_imm12_lsb_c + 6)                        <= ci_instr16_i(7);
132
            ci_instr32_o(instr_imm12_lsb_c + 7)                        <= ci_instr16_i(8);
133
            ci_instr32_o(instr_imm12_lsb_c + 8)                        <= ci_instr16_i(9);
134
            ci_instr32_o(instr_imm12_lsb_c + 9)                        <= ci_instr16_i(10);
135
            --
136
            ci_illegal_o <= not or_reduce_f(ci_instr16_i(12 downto 2)); -- 12:2 = "00000000000" is official illegal instruction
137 2 zero_gravi
 
138 52 zero_gravi
          when "010" | "011" => -- C.LW / C.FLW
139 2 zero_gravi
          -- ----------------------------------------------------------------------------------------------------------
140 53 zero_gravi
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_load_c;
141 2 zero_gravi
            ci_instr32_o(21 downto 20)                                 <= "00";
142
            ci_instr32_o(22)                                           <= ci_instr16_i(6);
143
            ci_instr32_o(23)                                           <= ci_instr16_i(10);
144
            ci_instr32_o(24)                                           <= ci_instr16_i(11);
145
            ci_instr32_o(25)                                           <= ci_instr16_i(12);
146
            ci_instr32_o(26)                                           <= ci_instr16_i(5);
147 6 zero_gravi
            ci_instr32_o(31 downto 27)                                 <= (others => '0');
148 2 zero_gravi
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_lw_c;
149
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); -- x8 - x15
150
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= "01" & ci_instr16_i(ci_rd_3_msb_c downto ci_rd_3_lsb_c);   -- x8 - x15
151 53 zero_gravi
            if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FLW
152
              ci_illegal_o <= '1';
153
            end if;
154 2 zero_gravi
 
155 52 zero_gravi
          when "110" | "111" => -- C.SW / C.FSW
156 2 zero_gravi
          -- ----------------------------------------------------------------------------------------------------------
157 53 zero_gravi
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_store_c;
158 2 zero_gravi
            ci_instr32_o(08 downto 07)                                 <= "00";
159
            ci_instr32_o(09)                                           <= ci_instr16_i(6);
160
            ci_instr32_o(10)                                           <= ci_instr16_i(10);
161
            ci_instr32_o(11)                                           <= ci_instr16_i(11);
162
            ci_instr32_o(25)                                           <= ci_instr16_i(12);
163
            ci_instr32_o(26)                                           <= ci_instr16_i(5);
164
            ci_instr32_o(31 downto 27)                                 <= (others => '0');
165
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sw_c;
166
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); -- x8 - x15
167
            ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c)       <= "01" & ci_instr16_i(ci_rs2_3_msb_c downto ci_rs2_3_lsb_c); -- x8 - x15
168 53 zero_gravi
            if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FSW
169
              ci_illegal_o <= '1';
170
            end if;
171 2 zero_gravi
 
172
          when others => -- undefined
173 49 zero_gravi
          -- ----------------------------------------------------------------------------------------------------------
174 65 zero_gravi
            ci_instr32_o <= (others => '-');
175 2 zero_gravi
            ci_illegal_o <= '1';
176 49 zero_gravi
 
177 2 zero_gravi
        end case;
178
 
179
      when "01" => -- C1: Control Transfer Instructions, Integer Constant-Generation Instructions
180
 
181
        case ci_instr16_i(ci_funct3_msb_c downto ci_funct3_lsb_c) is
182
          when "101" => -- C.J
183
          -- ----------------------------------------------------------------------------------------------------------
184
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jal_c;
185
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= "00000"; -- discard return address
186
            ci_instr32_o(19 downto 12)                                 <= imm20_v(19 downto 12);
187
            ci_instr32_o(20)                                           <= imm20_v(11);
188
            ci_instr32_o(30 downto 21)                                 <= imm20_v(10 downto 01);
189
            ci_instr32_o(31)                                           <= imm20_v(20);
190
 
191
          when "001" => -- C.JAL
192
          -- ----------------------------------------------------------------------------------------------------------
193
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jal_c;
194
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= "00001"; -- save return address to link register
195
            ci_instr32_o(19 downto 12)                                 <= imm20_v(19 downto 12);
196
            ci_instr32_o(20)                                           <= imm20_v(11);
197
            ci_instr32_o(30 downto 21)                                 <= imm20_v(10 downto 01);
198
            ci_instr32_o(31)                                           <= imm20_v(20);
199
 
200
          when "110" => -- C.BEQ
201
          -- ----------------------------------------------------------------------------------------------------------
202
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_branch_c;
203
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_beq_c;
204
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c);
205
            ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c)       <= "00000"; -- x0
206
            ci_instr32_o(07)                                           <= imm12_v(11);
207
            ci_instr32_o(11 downto 08)                                 <= imm12_v(04 downto 01);
208
            ci_instr32_o(30 downto 25)                                 <= imm12_v(10 downto 05);
209
            ci_instr32_o(31)                                           <= imm12_v(12);
210
 
211
          when "111" => -- C.BNEZ
212
          -- ----------------------------------------------------------------------------------------------------------
213
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_branch_c;
214
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_bne_c;
215
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c);
216
            ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c)       <= "00000"; -- x0
217
            ci_instr32_o(07)                                           <= imm12_v(11);
218
            ci_instr32_o(11 downto 08)                                 <= imm12_v(04 downto 01);
219
            ci_instr32_o(30 downto 25)                                 <= imm12_v(10 downto 05);
220
            ci_instr32_o(31)                                           <= imm12_v(12);
221
 
222
          when "010" => -- C.LI
223
          -- ----------------------------------------------------------------------------------------------------------
224
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c;
225
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c;
226
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "00000"; -- x0
227
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
228
            ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c)   <= (others => ci_instr16_i(12)); -- sign extend
229
            ci_instr32_o(instr_imm12_lsb_c + 0)                        <= ci_instr16_i(2);
230
            ci_instr32_o(instr_imm12_lsb_c + 1)                        <= ci_instr16_i(3);
231
            ci_instr32_o(instr_imm12_lsb_c + 2)                        <= ci_instr16_i(4);
232
            ci_instr32_o(instr_imm12_lsb_c + 3)                        <= ci_instr16_i(5);
233
            ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(6);
234
            ci_instr32_o(instr_imm12_lsb_c + 5)                        <= ci_instr16_i(12);
235
 
236
          when "011" => -- C.LUI / C.ADDI16SP
237
          -- ----------------------------------------------------------------------------------------------------------
238
            if (ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c) = "00010") then -- C.ADDI16SP
239
              ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c;
240
              ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c;
241
              ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
242
              ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "00010"; -- stack pointer
243
              ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= "00010"; -- stack pointer
244
              ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c)   <= (others => ci_instr16_i(12)); -- sign extend
245 41 zero_gravi
              ci_instr32_o(instr_imm12_lsb_c + 0)                        <= '0';
246
              ci_instr32_o(instr_imm12_lsb_c + 1)                        <= '0';
247
              ci_instr32_o(instr_imm12_lsb_c + 2)                        <= '0';
248
              ci_instr32_o(instr_imm12_lsb_c + 3)                        <= '0';
249
              ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(6);
250
              ci_instr32_o(instr_imm12_lsb_c + 5)                        <= ci_instr16_i(2);
251
              ci_instr32_o(instr_imm12_lsb_c + 6)                        <= ci_instr16_i(5);
252
              ci_instr32_o(instr_imm12_lsb_c + 7)                        <= ci_instr16_i(3);
253
              ci_instr32_o(instr_imm12_lsb_c + 8)                        <= ci_instr16_i(4);
254
              ci_instr32_o(instr_imm12_lsb_c + 9)                        <= ci_instr16_i(12);
255 2 zero_gravi
 
256
            else -- C.LUI
257
              ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_lui_c;
258
              ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
259
              ci_instr32_o(instr_imm20_msb_c downto instr_imm20_lsb_c)   <= (others => ci_instr16_i(12)); -- sign extend
260
              ci_instr32_o(instr_imm20_lsb_c + 0)                        <= ci_instr16_i(2);
261
              ci_instr32_o(instr_imm20_lsb_c + 1)                        <= ci_instr16_i(3);
262
              ci_instr32_o(instr_imm20_lsb_c + 2)                        <= ci_instr16_i(4);
263
              ci_instr32_o(instr_imm20_lsb_c + 3)                        <= ci_instr16_i(5);
264
              ci_instr32_o(instr_imm20_lsb_c + 4)                        <= ci_instr16_i(6);
265
              ci_instr32_o(instr_imm20_lsb_c + 5)                        <= ci_instr16_i(12);
266
            end if;
267
            if (ci_instr16_i(6 downto 2) = "00000") and (ci_instr16_i(12) = '0') then -- reserved
268
              ci_illegal_o <= '1';
269
            end if;
270
 
271
          when "000" => -- C.NOP (rd=0) / C.ADDI
272
          -- ----------------------------------------------------------------------------------------------------------
273
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c;
274
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c;
275
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c);
276
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
277
            ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c)   <= (others => ci_instr16_i(12)); -- sign extend
278
            ci_instr32_o(instr_imm12_lsb_c + 0)                        <= ci_instr16_i(2);
279
            ci_instr32_o(instr_imm12_lsb_c + 1)                        <= ci_instr16_i(3);
280
            ci_instr32_o(instr_imm12_lsb_c + 2)                        <= ci_instr16_i(4);
281
            ci_instr32_o(instr_imm12_lsb_c + 3)                        <= ci_instr16_i(5);
282
            ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(6);
283
            ci_instr32_o(instr_imm12_lsb_c + 5)                        <= ci_instr16_i(12);
284
 
285
          when "100" => -- C.SRLI, C.SRAI, C.ANDI, C.SUB, C.XOR, C.OR, C.AND, reserved
286
          -- ----------------------------------------------------------------------------------------------------------
287
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c);
288
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)   <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c);
289
            if (ci_instr16_i(11 downto 10) = "11") then -- register-register operation
290
              ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alu_c;
291
              ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= "01" & ci_instr16_i(ci_rs2_3_msb_c downto ci_rs2_3_lsb_c);
292
              case ci_instr16_i(6 downto 5) is
293
                when "00" => -- C.SUB
294
                  ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c;
295
                  ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0100000";
296
                when "01" => -- C.XOR
297
                  ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_xor_c;
298
                  ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000";
299
                when "10" => -- C.OR
300
                  ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_or_c;
301
                  ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000";
302
                when others => -- C.AND
303
                  ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_and_c;
304
                  ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000";
305
              end case;
306
            else -- register-immediate operation
307
              ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c;
308
              case ci_instr16_i(11 downto 10) is
309
                when "00" => -- C.SRLI
310
                  ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sr_c;
311
                  ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000";
312
                  ci_instr32_o(instr_imm12_lsb_c + 0)                        <= ci_instr16_i(2);
313
                  ci_instr32_o(instr_imm12_lsb_c + 1)                        <= ci_instr16_i(3);
314
                  ci_instr32_o(instr_imm12_lsb_c + 2)                        <= ci_instr16_i(4);
315
                  ci_instr32_o(instr_imm12_lsb_c + 3)                        <= ci_instr16_i(5);
316
                  ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(6);
317
                  ci_illegal_o <= ci_instr16_i(12);
318
                when "01" => -- C.SRAI
319
                  ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sr_c;
320
                  ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0100000";
321
                  ci_instr32_o(instr_imm12_lsb_c + 0)                        <= ci_instr16_i(2);
322
                  ci_instr32_o(instr_imm12_lsb_c + 1)                        <= ci_instr16_i(3);
323
                  ci_instr32_o(instr_imm12_lsb_c + 2)                        <= ci_instr16_i(4);
324
                  ci_instr32_o(instr_imm12_lsb_c + 3)                        <= ci_instr16_i(5);
325
                  ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(6);
326
                  ci_illegal_o <= ci_instr16_i(12);
327
                when "10" => -- C.ANDI
328
                  ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_and_c;
329
                  ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c)   <= (others => ci_instr16_i(12)); -- sign extend
330
                  ci_instr32_o(instr_imm12_lsb_c + 0)                        <= ci_instr16_i(2);
331
                  ci_instr32_o(instr_imm12_lsb_c + 1)                        <= ci_instr16_i(3);
332
                  ci_instr32_o(instr_imm12_lsb_c + 2)                        <= ci_instr16_i(4);
333
                  ci_instr32_o(instr_imm12_lsb_c + 3)                        <= ci_instr16_i(5);
334
                  ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(6);
335
                  ci_instr32_o(instr_imm12_lsb_c + 5)                        <= ci_instr16_i(12);
336
                when others => -- register-register operation
337
                  NULL;
338
              end case;
339
            end if;
340
            if (ci_instr16_i(12 downto 10) = "111") then -- reserved / undefined
341
              ci_illegal_o <= '1';
342
            end if;
343
 
344
          when others => -- undefined
345 49 zero_gravi
          -- ----------------------------------------------------------------------------------------------------------
346 65 zero_gravi
            ci_instr32_o <= (others => '-');
347 2 zero_gravi
            ci_illegal_o <= '1';
348 49 zero_gravi
 
349 2 zero_gravi
        end case;
350
 
351
      when "10" => -- C2: Stack-Pointer-Based Loads and Stores, Control Transfer Instructions
352
        case ci_instr16_i(ci_funct3_msb_c downto ci_funct3_lsb_c) is
353
 
354
          when "000" => -- C.SLLI
355
          -- ----------------------------------------------------------------------------------------------------------
356
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c;
357
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c);
358
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c);
359
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sll_c;
360
            ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000";
361
            ci_instr32_o(instr_imm12_lsb_c + 0)                        <= ci_instr16_i(2);
362
            ci_instr32_o(instr_imm12_lsb_c + 1)                        <= ci_instr16_i(3);
363
            ci_instr32_o(instr_imm12_lsb_c + 2)                        <= ci_instr16_i(4);
364
            ci_instr32_o(instr_imm12_lsb_c + 3)                        <= ci_instr16_i(5);
365
            ci_instr32_o(instr_imm12_lsb_c + 4)                        <= ci_instr16_i(6);
366
            ci_illegal_o <= ci_instr16_i(12);
367
 
368 52 zero_gravi
          when "010" | "011" => -- C.LWSP / C.FLWSP
369 2 zero_gravi
          -- ----------------------------------------------------------------------------------------------------------
370 53 zero_gravi
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_load_c;
371 2 zero_gravi
            ci_instr32_o(21 downto 20)                                 <= "00";
372
            ci_instr32_o(22)                                           <= ci_instr16_i(4);
373
            ci_instr32_o(23)                                           <= ci_instr16_i(5);
374
            ci_instr32_o(24)                                           <= ci_instr16_i(6);
375
            ci_instr32_o(25)                                           <= ci_instr16_i(12);
376
            ci_instr32_o(26)                                           <= ci_instr16_i(2);
377
            ci_instr32_o(27)                                           <= ci_instr16_i(3);
378
            ci_instr32_o(31 downto 28)                                 <= (others => '0');
379
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_lw_c;
380
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "00010"; -- stack pointer
381
            ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
382 53 zero_gravi
            if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FLWSP
383
              ci_illegal_o <= '1';
384
            end if;
385 2 zero_gravi
 
386 52 zero_gravi
          when "110" | "111" => -- C.SWSP / C.FSWSP
387 2 zero_gravi
          -- ----------------------------------------------------------------------------------------------------------
388 53 zero_gravi
            ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_store_c;
389 2 zero_gravi
            ci_instr32_o(08 downto 07)                                 <= "00";
390
            ci_instr32_o(09)                                           <= ci_instr16_i(9);
391
            ci_instr32_o(10)                                           <= ci_instr16_i(10);
392
            ci_instr32_o(11)                                           <= ci_instr16_i(11);
393
            ci_instr32_o(25)                                           <= ci_instr16_i(12);
394
            ci_instr32_o(26)                                           <= ci_instr16_i(7);
395
            ci_instr32_o(27)                                           <= ci_instr16_i(8);
396
            ci_instr32_o(31 downto 28)                                 <= (others => '0');
397
            ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sw_c;
398
            ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "00010"; -- stack pointer
399
            ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c)       <= ci_instr16_i(ci_rs2_5_msb_c downto ci_rs2_5_lsb_c);
400 53 zero_gravi
            if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FSWSP
401
              ci_illegal_o <= '1';
402
            end if;
403 2 zero_gravi
 
404
          when "100" => -- C.JR, C.JALR, C.MV, C.EBREAK, C.ADD
405
          -- ----------------------------------------------------------------------------------------------------------
406
            if (ci_instr16_i(12) = '0') then -- C.JR, C.MV
407
              if (ci_instr16_i(6 downto 2) = "00000") then -- C.JR
408
                ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jalr_c;
409
                ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c);
410
                ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= "00000"; -- discard return address
411
              else -- C.MV
412
                ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alu_c;
413
                ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= "000";
414
                ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
415
                ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= "00000"; -- x0
416
                ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c)       <= ci_instr16_i(ci_rs2_5_msb_c downto ci_rs2_5_lsb_c);
417
              end if;
418
            else -- C.EBREAK, C.JALR, C.ADD
419
              if (ci_instr16_i(6 downto 2) = "00000") then -- C.EBREAK, C.JALR
420
                if (ci_instr16_i(11 downto 7) = "00000") then -- C.EBREAK
421
                  ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c)   <= opcode_syscsr_c;
422
                  ci_instr32_o(instr_funct12_msb_c downto instr_funct12_lsb_c) <= "000000000001";
423
                else -- C.JALR
424
                  ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jalr_c;
425
                  ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c);
426
                  ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= "00001"; -- save return address to link register
427
                end if;
428
              else -- C.ADD
429
                ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alu_c;
430
                ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= "000";
431
                ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c)         <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
432
                ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c)       <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c);
433
                ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c)       <= ci_instr16_i(ci_rs2_5_msb_c downto ci_rs2_5_lsb_c);
434
              end if;
435
            end if;
436
 
437
          when others => -- undefined
438 49 zero_gravi
          -- ----------------------------------------------------------------------------------------------------------
439 65 zero_gravi
            ci_instr32_o <= (others => '-');
440 2 zero_gravi
            ci_illegal_o <= '1';
441 49 zero_gravi
 
442 2 zero_gravi
        end case;
443
 
444
      when others => -- not a compressed instruction
445 49 zero_gravi
      -- ----------------------------------------------------------------------------------------------------------
446 65 zero_gravi
        ci_instr32_o <= (others => '-');
447
        ci_illegal_o <= '0';
448 2 zero_gravi
 
449
    end case;
450
  end process decompressor;
451
 
452
 
453
end neorv32_cpu_decompressor_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.