OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_mtime.vhd] - Blame information for rev 56

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Machine System Timer (MTIME) >>                                                  #
3
-- # ********************************************************************************************* #
4 56 zero_gravi
-- # Compatible to RISC-V spec's 64-bit MACHINE system timer including "mtime[h]" & "mtimecmp[h]". #
5
-- # Note: The 64-bit counter and compare system is broken and de-coupled into two 32-bit systems. #
6 2 zero_gravi
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9 56 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
10 2 zero_gravi
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_mtime is
46
  port (
47
    -- host access --
48
    clk_i     : in  std_ulogic; -- global clock line
49 4 zero_gravi
    rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
50 2 zero_gravi
    addr_i    : in  std_ulogic_vector(31 downto 0); -- address
51
    rden_i    : in  std_ulogic; -- read enable
52
    wren_i    : in  std_ulogic; -- write enable
53
    data_i    : in  std_ulogic_vector(31 downto 0); -- data in
54
    data_o    : out std_ulogic_vector(31 downto 0); -- data out
55
    ack_o     : out std_ulogic; -- transfer acknowledge
56 11 zero_gravi
    -- time output for CPU --
57
    time_o    : out std_ulogic_vector(63 downto 0); -- current system time
58 2 zero_gravi
    -- interrupt --
59
    irq_o     : out std_ulogic  -- interrupt request
60
  );
61
end neorv32_mtime;
62
 
63
architecture neorv32_mtime_rtl of neorv32_mtime is
64
 
65
  -- IO space: module base address --
66
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
67
  constant lo_abb_c : natural := index_size_f(mtime_size_c); -- low address boundary bit
68
 
69
  -- access control --
70
  signal acc_en : std_ulogic; -- module access enable
71
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
72
  signal wren   : std_ulogic; -- module access enable
73
 
74
  -- accessible regs --
75 11 zero_gravi
  signal mtimecmp_lo     : std_ulogic_vector(31 downto 0);
76
  signal mtimecmp_hi     : std_ulogic_vector(31 downto 0);
77 2 zero_gravi
  signal mtime_lo        : std_ulogic_vector(32 downto 0);
78
  signal mtime_lo_msb_ff : std_ulogic;
79
  signal mtime_hi        : std_ulogic_vector(31 downto 0);
80
 
81
  -- irq control --
82
  signal cmp_lo       : std_ulogic;
83
  signal cmp_lo_ff    : std_ulogic;
84
  signal cmp_hi       : std_ulogic;
85
  signal cmp_match_ff : std_ulogic;
86
 
87
begin
88
 
89
  -- Access Control -------------------------------------------------------------------------
90
  -- -------------------------------------------------------------------------------------------
91
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = mtime_base_c(hi_abb_c downto lo_abb_c)) else '0';
92
  addr   <= mtime_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
93 22 zero_gravi
  wren   <= acc_en and wren_i;
94 2 zero_gravi
 
95
 
96 11 zero_gravi
  -- Write Access ---------------------------------------------------------------------------
97 4 zero_gravi
  -- -------------------------------------------------------------------------------------------
98 11 zero_gravi
  wr_access: process(clk_i)
99 4 zero_gravi
  begin
100
    if rising_edge(clk_i) then
101 25 zero_gravi
      -- mtimecmp low --
102
      if (wren = '1') and (addr = mtime_cmp_lo_addr_c) then
103
        mtimecmp_lo <= data_i;
104 4 zero_gravi
      end if;
105
 
106 25 zero_gravi
      -- mtimecmp high --
107
      if (wren = '1') and (addr = mtime_cmp_hi_addr_c) then
108
        mtimecmp_hi <= data_i;
109
      end if;
110
 
111 11 zero_gravi
      -- mtime low --
112
      if (wren = '1') and (addr = mtime_time_lo_addr_c) then
113
        mtime_lo_msb_ff <= '0';
114
        mtime_lo <= '0' & data_i;
115
      else -- auto increment
116
        mtime_lo_msb_ff <= mtime_lo(mtime_lo'left);
117
        mtime_lo <= std_ulogic_vector(unsigned(mtime_lo) + 1);
118 2 zero_gravi
      end if;
119
 
120 11 zero_gravi
      -- mtime high --
121
      if (wren = '1') and (addr = mtime_time_hi_addr_c) then
122
        mtime_hi <= data_i;
123 56 zero_gravi
      elsif ((mtime_lo_msb_ff xor mtime_lo(mtime_lo'left)) = '1') then -- auto increment: mtime_lo carry?
124 11 zero_gravi
        mtime_hi <= std_ulogic_vector(unsigned(mtime_hi) + 1);
125 2 zero_gravi
      end if;
126
    end if;
127
  end process wr_access;
128
 
129
 
130
  -- Read Access ----------------------------------------------------------------------------
131
  -- -------------------------------------------------------------------------------------------
132
  rd_access: process(clk_i)
133
  begin
134
    if rising_edge(clk_i) then
135 11 zero_gravi
      ack_o  <= acc_en and (rden_i or wren_i);
136 2 zero_gravi
      data_o <= (others => '0'); -- default
137
      if (rden_i = '1') and (acc_en = '1') then
138 25 zero_gravi
        case addr is
139
          when mtime_time_lo_addr_c => -- mtime LOW
140
            data_o <= mtime_lo(31 downto 00);
141
          when mtime_time_hi_addr_c => -- mtime HIGH
142
            data_o <= mtime_hi;
143
          when mtime_cmp_lo_addr_c => -- mtimecmp LOW
144
            data_o <= mtimecmp_lo;
145 56 zero_gravi
          when others => -- mtime_cmp_hi_addr_c -- mtimecmp HIGH
146 25 zero_gravi
            data_o <= mtimecmp_hi;
147
        end case;
148 2 zero_gravi
      end if;
149
    end if;
150
  end process rd_access;
151
 
152 26 zero_gravi
  -- system time output for cpu --
153 11 zero_gravi
  time_o <= mtime_hi & mtime_lo(31 downto 00);
154 2 zero_gravi
 
155 11 zero_gravi
 
156 2 zero_gravi
  -- Comparator -----------------------------------------------------------------------------
157
  -- -------------------------------------------------------------------------------------------
158
  cmp_sync: process(clk_i)
159
  begin
160
    if rising_edge(clk_i) then
161
      cmp_lo_ff    <= cmp_lo;
162
      cmp_match_ff <= cmp_lo_ff and cmp_hi;
163 11 zero_gravi
      irq_o        <= cmp_lo_ff and cmp_hi and (not cmp_match_ff);
164 2 zero_gravi
    end if;
165
  end process cmp_sync;
166
 
167
  -- test words --
168 11 zero_gravi
  cmp_lo <= '1' when (unsigned(mtime_lo(31 downto 00)) >= unsigned(mtimecmp_lo)) else '0';
169
  cmp_hi <= '1' when (unsigned(mtime_hi(31 downto 00)) >= unsigned(mtimecmp_hi)) else '0';
170 2 zero_gravi
 
171
 
172
end neorv32_mtime_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.