OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 74

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 73 zero_gravi
  -- use dedicated hardware reset value for UNCRITICAL CPU registers --
48 72 zero_gravi
  -- FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value
49
  constant dedicated_reset_c : boolean := false;
50 40 zero_gravi
 
51 54 zero_gravi
  -- "critical" number of implemented PMP regions --
52 74 zero_gravi
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into
53
  -- the memory interfaces increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
54 54 zero_gravi
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
55 47 zero_gravi
 
56 69 zero_gravi
  -- "response time window" for processor-internal modules --
57 72 zero_gravi
  -- = cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
58
  constant max_proc_int_response_time_c : natural := 15;
59 57 zero_gravi
 
60 59 zero_gravi
  -- jtag tap - identifier --
61
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
62
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
63
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
64
 
65 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
66
  -- -------------------------------------------------------------------------------------------
67 62 zero_gravi
  constant data_width_c : natural := 32; -- native data path width - do not change!
68 74 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01070000"; -- NEORV32 version - no touchy!
69 62 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
70 61 zero_gravi
 
71 69 zero_gravi
  -- Check if we're inside the Matrix -------------------------------------------------------
72
  -- -------------------------------------------------------------------------------------------
73
  constant is_simulation_c : boolean := false -- seems like we're on real hardware
74
-- pragma translate_off
75
-- synthesis translate_off
76
-- synthesis synthesis_off
77
-- RTL_SYNTHESIS OFF
78
  or true -- this MIGHT be a simulation
79
-- RTL_SYNTHESIS ON
80
-- synthesis synthesis_on
81
-- synthesis translate_on
82
-- pragma translate_on
83
  ;
84
 
85 61 zero_gravi
  -- External Interface Types ---------------------------------------------------------------
86
  -- -------------------------------------------------------------------------------------------
87 72 zero_gravi
  type sdata_8x32_t  is array (0 to 7) of std_ulogic_vector(31 downto 0);
88
  type sdata_8x32r_t is array (0 to 7) of std_logic_vector(31 downto 0); -- resolved type
89 61 zero_gravi
 
90
  -- Internal Interface Types ---------------------------------------------------------------
91
  -- -------------------------------------------------------------------------------------------
92 73 zero_gravi
  type pmp_ctrl_if_t is array (0 to 15) of std_ulogic_vector(07 downto 0);
93
  type pmp_addr_if_t is array (0 to 15) of std_ulogic_vector(33 downto 2); -- bits 33:2 of phys. address
94 61 zero_gravi
 
95
  -- Internal Memory Types Configuration Types ----------------------------------------------
96
  -- -------------------------------------------------------------------------------------------
97
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
98
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
99
 
100 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
101 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
102
  function index_size_f(input : natural) return natural;
103
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
104 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
105 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
106 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
107 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
108 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
109 71 zero_gravi
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector;
110
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector;
111 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
112
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
113
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
114 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
115 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
116 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
117 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
118 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
119 62 zero_gravi
  function char_to_lower_f(ch : character) return character;
120 61 zero_gravi
  function str_equal_f(str0 : string; str1 : string) return boolean;
121 63 zero_gravi
  function popcount_f(input : std_ulogic_vector) return natural;
122
  function leading_zeros_f(input : std_ulogic_vector) return natural;
123 61 zero_gravi
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
124 2 zero_gravi
 
125 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
126 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
127 70 zero_gravi
  constant def_rst_val_c : std_ulogic; -- Use a deferred constant, prevents compile error with Questa, see IEEE 1076-2008 14.4.2.1
128 56 zero_gravi
 
129 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
130
  -- -------------------------------------------------------------------------------------------
131 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
132 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
133
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
134 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
135 2 zero_gravi
 
136 64 zero_gravi
  -- !!! IMPORTANT: The base address of each component/module has to be aligned to the !!!
137
  -- !!! total size of the module's occupied address space. The occupied address space !!!
138
  -- !!! has to be a power of two (minimum 4 bytes). Address spaces must not overlap.  !!!
139
 
140 23 zero_gravi
  -- Internal Bootloader ROM --
141 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
142 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
143 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
144 23 zero_gravi
 
145 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
146
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
147 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
148 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
149
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
150
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
151
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
152
 
153 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
154 70 zero_gravi
  -- Control register(s) (including the device-enable flag) should be located at the base address of each device
155 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
156 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
157 2 zero_gravi
 
158 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
159 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
160 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
161 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
162
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
163
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
164
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
165
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
166
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
167
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
168
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
169
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
170
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
171
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
172
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
173
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
174
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
175
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
176
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
177
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
178
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
179
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
180
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
181
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
182
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
183
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
184
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
185
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
186
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
187
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
188
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
189
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
190
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
191
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
192
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
193 47 zero_gravi
 
194 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
195
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
196 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
197 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
198
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
199
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
200
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
201
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
202
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
203
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
204
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
205
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
206
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
207
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
208
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
209
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
210
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
211
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
212
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
213
 
214 63 zero_gravi
  -- Stream Link Interface (SLINK) --
215 61 zero_gravi
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
216
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
217 60 zero_gravi
 
218
  -- reserved --
219
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
220 63 zero_gravi
--constant reserved_size_c      : natural := 16*4; -- module's address space size in bytes
221 60 zero_gravi
 
222 70 zero_gravi
  -- Execute In Place Module (XIP) --
223
  constant xip_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40"; -- base address
224
  constant xip_size_c           : natural := 4*4; -- module's address space size in bytes
225
  constant xip_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40";
226
  constant xip_map_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff44";
227
  constant xip_data_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff48";
228
  constant xip_data_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff4C";
229
 
230 63 zero_gravi
  -- reserved --
231 70 zero_gravi
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff50"; -- base address
232
--constant reserved_size_c      : natural := 4*4; -- module's address space size in bytes
233 63 zero_gravi
 
234 67 zero_gravi
  -- General Purpose Timer (GPTMR) --
235
  constant gptmr_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; -- base address
236
  constant gptmr_size_c         : natural := 4*4; -- module's address space size in bytes
237
  constant gptmr_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60";
238
  constant gptmr_thres_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff64";
239
  constant gptmr_count_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff68";
240
--constant gptmr_reserve_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff6c";
241 63 zero_gravi
 
242
  -- reserved --
243
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff70"; -- base address
244
--constant reserved_size_c      : natural := 2*4; -- module's address space size in bytes
245
 
246
  -- reserved --
247
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff78"; -- base address
248 66 zero_gravi
--constant reserved_size_c      : natural := 1*4; -- module's address space size in bytes
249 63 zero_gravi
 
250 66 zero_gravi
  -- Bus Access Monitor (BUSKEEPER) --
251
  constant buskeeper_base_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff7c"; -- base address
252
  constant buskeeper_size_c     : natural := 1*4; -- module's address space size in bytes
253
 
254 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
255
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
256
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
257
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
258
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
259
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
260 62 zero_gravi
--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
261 2 zero_gravi
 
262
  -- Machine System Timer (MTIME) --
263 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
264 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
265 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
266
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
267
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
268
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
269 2 zero_gravi
 
270 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
271 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
272 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
273 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
274
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
275 2 zero_gravi
 
276
  -- Serial Peripheral Interface (SPI) --
277 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
278 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
279 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
280
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
281 2 zero_gravi
 
282
  -- Two Wire Interface (TWI) --
283 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
284 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
285 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
286
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
287 2 zero_gravi
 
288 61 zero_gravi
  -- True Random Number Generator (TRNG) --
289
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
290
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
291
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
292
 
293
  -- Watch Dog Timer (WDT) --
294
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
295
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
296
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
297
 
298 63 zero_gravi
  -- General Purpose Input/Output Controller (GPIO) --
299 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
300
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
301
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
302
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
303
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
304
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
305 2 zero_gravi
 
306 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
307 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
308 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
309 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
310
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
311 50 zero_gravi
 
312 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
313 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
314 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
315 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
316
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
317 12 zero_gravi
 
318 23 zero_gravi
  -- System Information Memory (SYSINFO) --
319 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
320 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
321 12 zero_gravi
 
322 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
323 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
324
  -- register file --
325 73 zero_gravi
  constant ctrl_rf_wb_en_c      : natural :=  0; -- write back enable
326 49 zero_gravi
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
327
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
328
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
329
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
330
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
331
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
332
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
333
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
334
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
335
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
336 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
337
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
338
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
339
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
340
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
341 73 zero_gravi
  constant ctrl_rf_mux0_c       : natural := 16; -- input source select lsb
342
  constant ctrl_rf_mux1_c       : natural := 17; -- input source select msb
343 2 zero_gravi
  -- alu --
344 73 zero_gravi
  constant ctrl_alu_op0_c       : natural := 18; -- ALU operation select bit 0
345
  constant ctrl_alu_op1_c       : natural := 19; -- ALU operation select bit 1
346
  constant ctrl_alu_op2_c       : natural := 20; -- ALU operation select bit 2
347
  constant ctrl_alu_opa_mux_c   : natural := 21; -- operand A select (0=rs1, 1=PC)
348
  constant ctrl_alu_opb_mux_c   : natural := 22; -- operand B select (0=rs2, 1=IMM)
349
  constant ctrl_alu_unsigned_c  : natural := 23; -- is unsigned ALU operation
350 74 zero_gravi
  constant ctrl_alu_frm0_c      : natural := 24; -- FPU rounding mode bit 0
351
  constant ctrl_alu_frm1_c      : natural := 25; -- FPU rounding mode bit 1
352
  constant ctrl_alu_frm2_c      : natural := 26; -- FPU rounding mode bit 2
353 2 zero_gravi
  -- bus interface --
354 74 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 27; -- transfer size lsb (00=byte, 01=half-word)
355
  constant ctrl_bus_size_msb_c  : natural := 28; -- transfer size msb (10=word, 11=?)
356
  constant ctrl_bus_rd_c        : natural := 29; -- read data request
357
  constant ctrl_bus_wr_c        : natural := 30; -- write data request
358
  constant ctrl_bus_if_c        : natural := 31; -- instruction fetch request
359
  constant ctrl_bus_mo_we_c     : natural := 32; -- memory address and data output register write enable
360
  constant ctrl_bus_mi_we_c     : natural := 33; -- memory data input register write enable
361
  constant ctrl_bus_unsigned_c  : natural := 34; -- is unsigned load
362
  constant ctrl_bus_fence_c     : natural := 35; -- executed fence operation
363
  constant ctrl_bus_fencei_c    : natural := 36; -- executed fencei operation
364
  constant ctrl_bus_lock_c      : natural := 37; -- make atomic/exclusive access lock
365
  constant ctrl_bus_de_lock_c   : natural := 38; -- remove atomic/exclusive access 
366
  constant ctrl_bus_ch_lock_c   : natural := 39; -- evaluate atomic/exclusive lock (SC operation)
367 73 zero_gravi
  -- alu co-processors --
368 74 zero_gravi
  constant ctrl_cp_trig0_c      : natural := 40; -- trigger CP0
369
  constant ctrl_cp_trig1_c      : natural := 41; -- trigger CP1
370
  constant ctrl_cp_trig2_c      : natural := 42; -- trigger CP2
371
  constant ctrl_cp_trig3_c      : natural := 43; -- trigger CP3
372
  constant ctrl_cp_trig4_c      : natural := 44; -- trigger CP4
373
  constant ctrl_cp_trig5_c      : natural := 45; -- trigger CP5
374
  constant ctrl_cp_trig6_c      : natural := 46; -- trigger CP6
375
  constant ctrl_cp_trig7_c      : natural := 47; -- trigger CP7
376 73 zero_gravi
  -- instruction word control blocks (used by cpu co-processors) --
377 74 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 48; -- funct3 bit 0
378
  constant ctrl_ir_funct3_1_c   : natural := 49; -- funct3 bit 1
379
  constant ctrl_ir_funct3_2_c   : natural := 50; -- funct3 bit 2
380
  constant ctrl_ir_funct12_0_c  : natural := 51; -- funct12 bit 0
381
  constant ctrl_ir_funct12_1_c  : natural := 52; -- funct12 bit 1
382
  constant ctrl_ir_funct12_2_c  : natural := 53; -- funct12 bit 2
383
  constant ctrl_ir_funct12_3_c  : natural := 54; -- funct12 bit 3
384
  constant ctrl_ir_funct12_4_c  : natural := 55; -- funct12 bit 4
385
  constant ctrl_ir_funct12_5_c  : natural := 56; -- funct12 bit 5
386
  constant ctrl_ir_funct12_6_c  : natural := 57; -- funct12 bit 6
387
  constant ctrl_ir_funct12_7_c  : natural := 58; -- funct12 bit 7
388
  constant ctrl_ir_funct12_8_c  : natural := 59; -- funct12 bit 8
389
  constant ctrl_ir_funct12_9_c  : natural := 60; -- funct12 bit 9
390
  constant ctrl_ir_funct12_10_c : natural := 61; -- funct12 bit 10
391
  constant ctrl_ir_funct12_11_c : natural := 62; -- funct12 bit 11
392
  constant ctrl_ir_opcode7_0_c  : natural := 63; -- opcode7 bit 0
393
  constant ctrl_ir_opcode7_1_c  : natural := 64; -- opcode7 bit 1
394
  constant ctrl_ir_opcode7_2_c  : natural := 65; -- opcode7 bit 2
395
  constant ctrl_ir_opcode7_3_c  : natural := 66; -- opcode7 bit 3
396
  constant ctrl_ir_opcode7_4_c  : natural := 67; -- opcode7 bit 4
397
  constant ctrl_ir_opcode7_5_c  : natural := 68; -- opcode7 bit 5
398
  constant ctrl_ir_opcode7_6_c  : natural := 69; -- opcode7 bit 6
399 73 zero_gravi
  -- cpu status --
400 74 zero_gravi
  constant ctrl_priv_mode_c     : natural := 70; -- effective privilege mode
401
  constant ctrl_sleep_c         : natural := 71; -- set when CPU is in sleep mode
402
  constant ctrl_trap_c          : natural := 72; -- set when CPU is entering trap execution
403
  constant ctrl_debug_running_c : natural := 73; -- set when CPU is in debug mode
404 2 zero_gravi
  -- control bus size --
405 74 zero_gravi
  constant ctrl_width_c         : natural := 74; -- control bus size
406 2 zero_gravi
 
407 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
408 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
409 47 zero_gravi
  constant cmp_equal_c : natural := 0;
410
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
411 2 zero_gravi
 
412 72 zero_gravi
  -- RISC-V 32-Bit Instruction Word Layout --------------------------------------------------
413 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
414
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
415
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
416
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
417
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
418
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
419
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
420
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
421
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
422
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
423
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
424
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
425
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
426
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
427
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
428
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
429
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
430
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
431
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
432 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
433
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
434 2 zero_gravi
 
435
  -- RISC-V Opcodes -------------------------------------------------------------------------
436
  -- -------------------------------------------------------------------------------------------
437
  -- alu --
438
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
439
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
440
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
441
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
442
  -- control flow --
443
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
444 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
445 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
446
  -- memory access --
447
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
448
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
449
  -- system/csr --
450 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
451 74 zero_gravi
  constant opcode_system_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
452 52 zero_gravi
  -- atomic memory access (A) --
453 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
454 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
455 66 zero_gravi
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single operand instruction
456 72 zero_gravi
  -- official "custom0/1" RISC-V opcodes - free for custom instructions --
457
  constant opcode_cust0_c  : std_ulogic_vector(6 downto 0) := "0001011"; -- custom instructions 0
458
--constant opcode_cust1_c  : std_ulogic_vector(6 downto 0) := "0101011"; -- custom instructions 1
459 2 zero_gravi
 
460
  -- RISC-V Funct3 --------------------------------------------------------------------------
461
  -- -------------------------------------------------------------------------------------------
462
  -- control flow --
463
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
464
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
465
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
466
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
467
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
468
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
469
  -- memory access --
470
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
471
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
472
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
473
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
474
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
475
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
476
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
477
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
478
  -- alu --
479
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
480
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
481
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
482
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
483
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
484
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
485
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
486
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
487
  -- system/csr --
488 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
489 72 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- csr r/w
490
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- csr read & set bit
491
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- csr read & clear bit
492
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- csr r/w immediate
493
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- csr read & set bit immediate
494
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- csr read & clear bit immediate
495 8 zero_gravi
  -- fence --
496 73 zero_gravi
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access
497 66 zero_gravi
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instruction stream sync
498 2 zero_gravi
 
499 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
500 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
501
  -- system --
502 72 zero_gravi
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ecall
503
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- ebreak
504 74 zero_gravi
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- wfi
505 72 zero_gravi
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- mret
506
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- dret
507 11 zero_gravi
 
508 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
509
  -- -------------------------------------------------------------------------------------------
510
  -- atomic operations --
511 72 zero_gravi
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- lr.w
512
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- sc.w
513 39 zero_gravi
 
514 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
515 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
516 54 zero_gravi
  -- formats --
517
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
518 72 zero_gravi
--constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
519
--constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
520
--constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
521 52 zero_gravi
 
522 54 zero_gravi
  -- number class flags --
523
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
524
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
525
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
526
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
527
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
528
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
529
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
530
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
531
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
532
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
533
 
534
  -- exception flags --
535
  constant fp_exc_nv_c : natural := 0; -- invalid operation
536
  constant fp_exc_dz_c : natural := 1; -- divide by zero
537
  constant fp_exc_of_c : natural := 2; -- overflow
538
  constant fp_exc_uf_c : natural := 3; -- underflow
539
  constant fp_exc_nx_c : natural := 4; -- inexact
540
 
541
  -- special values (single-precision) --
542
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
543
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
544
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
545
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
546
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
547
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
548
 
549 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
550
  -- -------------------------------------------------------------------------------------------
551 74 zero_gravi
  constant csr_zero_c           : std_ulogic_vector(11 downto 0) := x"000"; -- always returns zero, only relevant for hardware access
552 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
553
  -- user floating-point CSRs --
554 68 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(09 downto 0) := x"00" & "00"; -- floating point
555 52 zero_gravi
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
556
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
557
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
558 56 zero_gravi
  -- machine trap setup --
559 63 zero_gravi
  constant csr_class_setup_c    : std_ulogic_vector(08 downto 0) := x"30" & '0'; -- trap setup
560 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
561
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
562
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
563
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
564
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
565 62 zero_gravi
  --
566
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
567 64 zero_gravi
  -- machine configuration --
568
  constant csr_class_envcfg_c   : std_ulogic_vector(06 downto 0) := x"3" & "000"; -- configuration
569
  constant csr_menvcfg_c        : std_ulogic_vector(11 downto 0) := x"30a";
570
  constant csr_menvcfgh_c       : std_ulogic_vector(11 downto 0) := x"31a";
571 56 zero_gravi
  -- machine counter setup --
572
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
573 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
574
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
575
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
576
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
577
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
578
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
579
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
580
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
581
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
582
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
583
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
584
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
585
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
586
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
587
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
588
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
589
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
590
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
591
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
592
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
593
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
594
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
595
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
596
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
597
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
598
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
599
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
600
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
601
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
602
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
603 56 zero_gravi
  -- machine trap handling --
604 69 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
605 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
606
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
607
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
608
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
609
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
610 56 zero_gravi
  -- physical memory protection - configuration --
611 73 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(09 downto 0) := x"3a" & "00"; -- pmp configuration
612 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
613
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
614
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
615
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
616 56 zero_gravi
  -- physical memory protection - address --
617 73 zero_gravi
  constant csr_class_pmpaddr_c  : std_ulogic_vector(07 downto 0) := x"3b"; -- pmp address
618 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
619
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
620
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
621
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
622
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
623
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
624
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
625
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
626
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
627
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
628
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
629
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
630
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
631
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
632
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
633
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
634 72 zero_gravi
  -- trigger module registers --
635
  constant csr_class_trigger_c  : std_ulogic_vector(07 downto 0) := x"7a"; -- trigger registers
636
  constant csr_tselect_c        : std_ulogic_vector(11 downto 0) := x"7a0";
637
  constant csr_tdata1_c         : std_ulogic_vector(11 downto 0) := x"7a1";
638
  constant csr_tdata2_c         : std_ulogic_vector(11 downto 0) := x"7a2";
639
  constant csr_tdata3_c         : std_ulogic_vector(11 downto 0) := x"7a3";
640
  constant csr_tinfo_c          : std_ulogic_vector(11 downto 0) := x"7a4";
641
  constant csr_tcontrol_c       : std_ulogic_vector(11 downto 0) := x"7a5";
642
  constant csr_mcontext_c       : std_ulogic_vector(11 downto 0) := x"7a8";
643
  constant csr_scontext_c       : std_ulogic_vector(11 downto 0) := x"7aa";
644 59 zero_gravi
  -- debug mode registers --
645
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
646
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
647
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
648
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
649 56 zero_gravi
  -- machine counters/timers --
650 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
651
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
652
  --
653
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
654
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
655
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
656
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
657
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
658
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
659
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
660
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
661
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
662
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
663
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
664
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
665
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
666
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
667
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
668
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
669
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
670
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
671
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
672
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
673
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
674
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
675
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
676
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
677
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
678
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
679
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
680
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
681
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
682
  --
683
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
684
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
685
  --
686
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
687
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
688
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
689
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
690
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
691
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
692
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
693
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
694
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
695
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
696
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
697
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
698
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
699
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
700
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
701
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
702
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
703
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
704
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
705
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
706
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
707
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
708
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
709
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
710
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
711
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
712
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
713
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
714
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
715
 
716 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
717
  -- user counters/timers --
718 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
719
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
720
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
721 73 zero_gravi
  --
722
  constant csr_hpmcounter3_c    : std_ulogic_vector(11 downto 0) := x"c03";
723
  constant csr_hpmcounter4_c    : std_ulogic_vector(11 downto 0) := x"c04";
724
  constant csr_hpmcounter5_c    : std_ulogic_vector(11 downto 0) := x"c05";
725
  constant csr_hpmcounter6_c    : std_ulogic_vector(11 downto 0) := x"c06";
726
  constant csr_hpmcounter7_c    : std_ulogic_vector(11 downto 0) := x"c07";
727
  constant csr_hpmcounter8_c    : std_ulogic_vector(11 downto 0) := x"c08";
728
  constant csr_hpmcounter9_c    : std_ulogic_vector(11 downto 0) := x"c09";
729
  constant csr_hpmcounter10_c   : std_ulogic_vector(11 downto 0) := x"c0a";
730
  constant csr_hpmcounter11_c   : std_ulogic_vector(11 downto 0) := x"c0b";
731
  constant csr_hpmcounter12_c   : std_ulogic_vector(11 downto 0) := x"c0c";
732
  constant csr_hpmcounter13_c   : std_ulogic_vector(11 downto 0) := x"c0d";
733
  constant csr_hpmcounter14_c   : std_ulogic_vector(11 downto 0) := x"c0e";
734
  constant csr_hpmcounter15_c   : std_ulogic_vector(11 downto 0) := x"c0f";
735
  constant csr_hpmcounter16_c   : std_ulogic_vector(11 downto 0) := x"c10";
736
  constant csr_hpmcounter17_c   : std_ulogic_vector(11 downto 0) := x"c11";
737
  constant csr_hpmcounter18_c   : std_ulogic_vector(11 downto 0) := x"c12";
738
  constant csr_hpmcounter19_c   : std_ulogic_vector(11 downto 0) := x"c13";
739
  constant csr_hpmcounter20_c   : std_ulogic_vector(11 downto 0) := x"c14";
740
  constant csr_hpmcounter21_c   : std_ulogic_vector(11 downto 0) := x"c15";
741
  constant csr_hpmcounter22_c   : std_ulogic_vector(11 downto 0) := x"c16";
742
  constant csr_hpmcounter23_c   : std_ulogic_vector(11 downto 0) := x"c17";
743
  constant csr_hpmcounter24_c   : std_ulogic_vector(11 downto 0) := x"c18";
744
  constant csr_hpmcounter25_c   : std_ulogic_vector(11 downto 0) := x"c19";
745
  constant csr_hpmcounter26_c   : std_ulogic_vector(11 downto 0) := x"c1a";
746
  constant csr_hpmcounter27_c   : std_ulogic_vector(11 downto 0) := x"c1b";
747
  constant csr_hpmcounter28_c   : std_ulogic_vector(11 downto 0) := x"c1c";
748
  constant csr_hpmcounter29_c   : std_ulogic_vector(11 downto 0) := x"c1d";
749
  constant csr_hpmcounter30_c   : std_ulogic_vector(11 downto 0) := x"c1e";
750
  constant csr_hpmcounter31_c   : std_ulogic_vector(11 downto 0) := x"c1f";
751
  --
752 42 zero_gravi
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
753
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
754
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
755 73 zero_gravi
  --
756
  constant csr_hpmcounter3h_c   : std_ulogic_vector(11 downto 0) := x"c83";
757
  constant csr_hpmcounter4h_c   : std_ulogic_vector(11 downto 0) := x"c84";
758
  constant csr_hpmcounter5h_c   : std_ulogic_vector(11 downto 0) := x"c85";
759
  constant csr_hpmcounter6h_c   : std_ulogic_vector(11 downto 0) := x"c86";
760
  constant csr_hpmcounter7h_c   : std_ulogic_vector(11 downto 0) := x"c87";
761
  constant csr_hpmcounter8h_c   : std_ulogic_vector(11 downto 0) := x"c88";
762
  constant csr_hpmcounter9h_c   : std_ulogic_vector(11 downto 0) := x"c89";
763
  constant csr_hpmcounter10h_c  : std_ulogic_vector(11 downto 0) := x"c8a";
764
  constant csr_hpmcounter11h_c  : std_ulogic_vector(11 downto 0) := x"c8b";
765
  constant csr_hpmcounter12h_c  : std_ulogic_vector(11 downto 0) := x"c8c";
766
  constant csr_hpmcounter13h_c  : std_ulogic_vector(11 downto 0) := x"c8d";
767
  constant csr_hpmcounter14h_c  : std_ulogic_vector(11 downto 0) := x"c8e";
768
  constant csr_hpmcounter15h_c  : std_ulogic_vector(11 downto 0) := x"c8f";
769
  constant csr_hpmcounter16h_c  : std_ulogic_vector(11 downto 0) := x"c90";
770
  constant csr_hpmcounter17h_c  : std_ulogic_vector(11 downto 0) := x"c91";
771
  constant csr_hpmcounter18h_c  : std_ulogic_vector(11 downto 0) := x"c92";
772
  constant csr_hpmcounter19h_c  : std_ulogic_vector(11 downto 0) := x"c93";
773
  constant csr_hpmcounter20h_c  : std_ulogic_vector(11 downto 0) := x"c94";
774
  constant csr_hpmcounter21h_c  : std_ulogic_vector(11 downto 0) := x"c95";
775
  constant csr_hpmcounter22h_c  : std_ulogic_vector(11 downto 0) := x"c96";
776
  constant csr_hpmcounter23h_c  : std_ulogic_vector(11 downto 0) := x"c97";
777
  constant csr_hpmcounter24h_c  : std_ulogic_vector(11 downto 0) := x"c98";
778
  constant csr_hpmcounter25h_c  : std_ulogic_vector(11 downto 0) := x"c99";
779
  constant csr_hpmcounter26h_c  : std_ulogic_vector(11 downto 0) := x"c9a";
780
  constant csr_hpmcounter27h_c  : std_ulogic_vector(11 downto 0) := x"c9b";
781
  constant csr_hpmcounter28h_c  : std_ulogic_vector(11 downto 0) := x"c9c";
782
  constant csr_hpmcounter29h_c  : std_ulogic_vector(11 downto 0) := x"c9d";
783
  constant csr_hpmcounter30h_c  : std_ulogic_vector(11 downto 0) := x"c9e";
784
  constant csr_hpmcounter31h_c  : std_ulogic_vector(11 downto 0) := x"c9f";
785 56 zero_gravi
  -- machine information registers --
786 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
787
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
788
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
789
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
790 62 zero_gravi
  constant csr_mconfigptr_c     : std_ulogic_vector(11 downto 0) := x"f15";
791 42 zero_gravi
 
792 72 zero_gravi
  -- <<< NEORV32-specific (custom) read-only CSRs >>> ---
793 73 zero_gravi
  -- machine extended ISA extensions information --
794 72 zero_gravi
  constant csr_mxisa_c          : std_ulogic_vector(11 downto 0) := x"fc0";
795
 
796 73 zero_gravi
  -- CPU Co-Processor IDs (one-hot!) --------------------------------------------------------
797 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
798 73 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(7 downto 0) := "00000001"; -- CP0: shift operations (base ISA)
799
  constant cp_sel_muldiv_c   : std_ulogic_vector(7 downto 0) := "00000010"; -- CP1: multiplication/division operations ('M' extensions)
800
  constant cp_sel_bitmanip_c : std_ulogic_vector(7 downto 0) := "00000100"; -- CP2: bit manipulation ('B' extensions)
801
  constant cp_sel_fpu_c      : std_ulogic_vector(7 downto 0) := "00001000"; -- CP3: floating-point unit ('Zfinx' extension)
802
  constant cp_sel_cfu_c      : std_ulogic_vector(7 downto 0) := "00010000"; -- CP4: custom instructions CFU ('Zxcfu' extension)
803
--constant cp_sel_res5_c     : std_ulogic_vector(7 downto 0) := "00100000"; -- CP5: reserved
804
--constant cp_sel_res6_c     : std_ulogic_vector(7 downto 0) := "01000000"; -- CP6: reserved
805
--constant cp_sel_res7_c     : std_ulogic_vector(7 downto 0) := "10000000"; -- CP7: reserved
806 2 zero_gravi
 
807 73 zero_gravi
  -- ALU Function Codes [DO NOT CHANGE ENCODING!] -------------------------------------------
808 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
809 73 zero_gravi
  constant alu_op_add_c  : std_ulogic_vector(2 downto 0) := "000"; -- result <= A + B
810
  constant alu_op_sub_c  : std_ulogic_vector(2 downto 0) := "001"; -- result <= A - B
811
  constant alu_op_cp_c   : std_ulogic_vector(2 downto 0) := "010"; -- result <= co-processor
812
  constant alu_op_slt_c  : std_ulogic_vector(2 downto 0) := "011"; -- result <= A < B
813
  constant alu_op_movb_c : std_ulogic_vector(2 downto 0) := "100"; -- result <= B
814
  constant alu_op_xor_c  : std_ulogic_vector(2 downto 0) := "101"; -- result <= A xor B
815
  constant alu_op_or_c   : std_ulogic_vector(2 downto 0) := "110"; -- result <= A or B
816
  constant alu_op_and_c  : std_ulogic_vector(2 downto 0) := "111"; -- result <= A and B
817 2 zero_gravi
 
818 73 zero_gravi
  -- Register File Input Select -------------------------------------------------------------
819
  -- -------------------------------------------------------------------------------------------
820
  constant rf_mux_alu_c : std_ulogic_vector(1 downto 0) := "00"; -- register file <= alu result
821
  constant rf_mux_mem_c : std_ulogic_vector(1 downto 0) := "01"; -- register file <= memory read data
822
  constant rf_mux_csr_c : std_ulogic_vector(1 downto 0) := "10"; -- register file <= CSR read data
823
  constant rf_mux_npc_c : std_ulogic_vector(1 downto 0) := "11"; -- register file <= next-PC (for branch-and-link)
824
 
825 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
826
  -- -------------------------------------------------------------------------------------------
827 64 zero_gravi
  -- MSB:   1 = async exception (IRQ), 0 = sync exception (e.g. ebreak)
828
  -- MSB-1: 1 = entry to debug mode, 0 = normal trapping
829 72 zero_gravi
  -- RISC-V compliant synchronous exceptions --
830 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
831
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
832
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
833
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
834
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
835
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
836
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
837
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
838
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
839 72 zero_gravi
--constant trap_senv_c  x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01001"; -- 0.9:  environment call from s-mode
840
--constant trap_henv_c  x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01010"; -- 0.10: environment call from h-mode
841 59 zero_gravi
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
842 72 zero_gravi
--constant trap_ipf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01100"; -- 0.12: instruction page fault
843
--constant trap_lpf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01101"; -- 0.13: load page fault
844
--constant trap_???_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01110"; -- 0.14: reserved
845 74 zero_gravi
--constant trap_spf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01111"; -- 0.15: store page fault
846 72 zero_gravi
  -- NEORV32-specific (custom) synchronous exceptions --
847
-- none implemented yet
848
  -- RISC-V compliant asynchronous exceptions (interrupts) --
849 59 zero_gravi
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
850
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
851
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
852 72 zero_gravi
  -- NEORV32-specific (custom) asynchronous exceptions (interrupts) --
853 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
854
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
855
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
856
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
857
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
858
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
859
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
860
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
861
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
862
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
863
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
864
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
865
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
866
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
867
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
868
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
869 72 zero_gravi
  -- entering debug mode (sync./async. exceptions) --
870
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00001"; -- break instruction (sync)
871
  constant trap_db_hw_c    : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- hardware trigger (sync)
872
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async)
873
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async)
874 12 zero_gravi
 
875 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
876
  -- -------------------------------------------------------------------------------------------
877
  -- exception source bits --
878 73 zero_gravi
  constant exc_iaccess_c   : natural :=  0; -- instruction access fault
879
  constant exc_iillegal_c  : natural :=  1; -- illegal instruction
880
  constant exc_ialign_c    : natural :=  2; -- instruction address misaligned
881
  constant exc_m_envcall_c : natural :=  3; -- ENV call from m-mode
882
  constant exc_u_envcall_c : natural :=  4; -- ENV call from u-mode
883
  constant exc_break_c     : natural :=  5; -- breakpoint
884
  constant exc_salign_c    : natural :=  6; -- store address misaligned
885
  constant exc_lalign_c    : natural :=  7; -- load address misaligned
886
  constant exc_saccess_c   : natural :=  8; -- store access fault
887
  constant exc_laccess_c   : natural :=  9; -- load access fault
888 59 zero_gravi
  -- for debug mode only --
889 73 zero_gravi
  constant exc_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
890
  constant exc_db_hw_c     : natural := 11; -- enter debug mode via hw trigger ("sync EXCEPTION")
891 14 zero_gravi
  --
892 73 zero_gravi
  constant exc_width_c     : natural := 12; -- length of this list in bits
893 2 zero_gravi
  -- interrupt source bits --
894 73 zero_gravi
  constant irq_msw_irq_c   : natural :=  0; -- machine software interrupt
895
  constant irq_mtime_irq_c : natural :=  1; -- machine timer interrupt
896
  constant irq_mext_irq_c  : natural :=  2; -- machine external interrupt
897
  constant irq_firq_0_c    : natural :=  3; -- fast interrupt channel 0
898
  constant irq_firq_1_c    : natural :=  4; -- fast interrupt channel 1
899
  constant irq_firq_2_c    : natural :=  5; -- fast interrupt channel 2
900
  constant irq_firq_3_c    : natural :=  6; -- fast interrupt channel 3
901
  constant irq_firq_4_c    : natural :=  7; -- fast interrupt channel 4
902
  constant irq_firq_5_c    : natural :=  8; -- fast interrupt channel 5
903
  constant irq_firq_6_c    : natural :=  9; -- fast interrupt channel 6
904
  constant irq_firq_7_c    : natural := 10; -- fast interrupt channel 7
905
  constant irq_firq_8_c    : natural := 11; -- fast interrupt channel 8
906
  constant irq_firq_9_c    : natural := 12; -- fast interrupt channel 9
907
  constant irq_firq_10_c   : natural := 13; -- fast interrupt channel 10
908
  constant irq_firq_11_c   : natural := 14; -- fast interrupt channel 11
909
  constant irq_firq_12_c   : natural := 15; -- fast interrupt channel 12
910
  constant irq_firq_13_c   : natural := 16; -- fast interrupt channel 13
911
  constant irq_firq_14_c   : natural := 17; -- fast interrupt channel 14
912
  constant irq_firq_15_c   : natural := 18; -- fast interrupt channel 15
913 59 zero_gravi
  -- for debug mode only --
914 73 zero_gravi
  constant irq_db_halt_c   : natural := 19; -- enter debug mode via external halt request ("async IRQ")
915
  constant irq_db_step_c   : natural := 20; -- enter debug mode via single-stepping ("async IRQ")
916 14 zero_gravi
  --
917 73 zero_gravi
  constant irq_width_c     : natural := 21; -- length of this list in bits
918 2 zero_gravi
 
919 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
920
  -- -------------------------------------------------------------------------------------------
921 73 zero_gravi
  constant priv_mode_m_c : std_ulogic := '1'; -- machine mode
922
  constant priv_mode_u_c : std_ulogic := '0'; -- user mode
923 15 zero_gravi
 
924 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
925
  -- -------------------------------------------------------------------------------------------
926
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
927 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
928 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
929
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
930
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
931
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
932 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
933
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
934
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
935
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
936
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
937
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
938
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
939
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
940
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
941 42 zero_gravi
  --
942 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
943 42 zero_gravi
 
944 72 zero_gravi
  -- SoC Clock Generator --------------------------------------------------------------------
945 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
946
  constant clk_div2_c    : natural := 0;
947
  constant clk_div4_c    : natural := 1;
948
  constant clk_div8_c    : natural := 2;
949
  constant clk_div64_c   : natural := 3;
950
  constant clk_div128_c  : natural := 4;
951
  constant clk_div1024_c : natural := 5;
952
  constant clk_div2048_c : natural := 6;
953
  constant clk_div4096_c : natural := 7;
954
 
955
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
956
  -- -------------------------------------------------------------------------------------------
957
  component neorv32_top
958
    generic (
959
      -- General --
960 62 zero_gravi
      CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
961 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
962 62 zero_gravi
      INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
963 59 zero_gravi
      -- On-Chip Debugger (OCD) --
964
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
965 2 zero_gravi
      -- RISC-V CPU Extensions --
966 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
967 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
968 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
969 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
970 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
971 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
972 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
973 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
974 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
975
      CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
976 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
977 62 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
978 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean := false;  -- implement custom (instr.) functions unit?
979
      -- Tuning Options --
980 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
981
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
982 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
983 62 zero_gravi
      CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
984 15 zero_gravi
      -- Physical Memory Protection (PMP) --
985 73 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..16)
986
      PMP_MIN_GRANULARITY          : natural := 4;      -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
987 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
988 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
989 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
990 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
991 62 zero_gravi
      MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
992 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
993 61 zero_gravi
      -- Internal Data memory (DMEM) --
994 62 zero_gravi
      MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
995 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
996 70 zero_gravi
      -- Internal Instruction Cache (iCACHE) --
997 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
998 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
999
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
1000 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
1001 61 zero_gravi
      -- External memory interface (WISHBONE) --
1002 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
1003 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
1004 62 zero_gravi
      MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1005
      MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
1006
      MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
1007 61 zero_gravi
      -- Stream link interface (SLINK) --
1008
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
1009
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
1010
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
1011
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
1012
      -- External Interrupts Controller (XIRQ) --
1013
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
1014 62 zero_gravi
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
1015
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1016 2 zero_gravi
      -- Processor peripherals --
1017 62 zero_gravi
      IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
1018
      IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
1019
      IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
1020 65 zero_gravi
      IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
1021
      IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
1022 62 zero_gravi
      IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1023 65 zero_gravi
      IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
1024
      IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
1025 62 zero_gravi
      IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
1026
      IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
1027
      IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
1028
      IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
1029 44 zero_gravi
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
1030 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
1031 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
1032 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
1033
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
1034 62 zero_gravi
      IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1035 67 zero_gravi
      IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
1036 70 zero_gravi
      IO_GPTMR_EN                  : boolean := false;  -- implement general purpose timer (GPTMR)?
1037
      IO_XIP_EN                    : boolean := false   -- implement execute in place module (XIP)?
1038 2 zero_gravi
    );
1039
    port (
1040
      -- Global control --
1041 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1042
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1043 59 zero_gravi
      -- JTAG on-chip debugger interface --
1044 62 zero_gravi
      jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
1045
      jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
1046
      jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
1047 61 zero_gravi
      jtag_tdo_o     : out std_ulogic;        -- serial data output
1048 62 zero_gravi
      jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
1049 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
1050 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
1051
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
1052 62 zero_gravi
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
1053 61 zero_gravi
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
1054
      wb_we_o        : out std_ulogic; -- read/write
1055
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
1056
      wb_stb_o       : out std_ulogic; -- strobe
1057
      wb_cyc_o       : out std_ulogic; -- valid cycle
1058
      wb_lock_o      : out std_ulogic; -- exclusive access request
1059 62 zero_gravi
      wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
1060
      wb_err_i       : in  std_ulogic := 'L'; -- transfer error
1061 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
1062 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
1063
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
1064 70 zero_gravi
      -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
1065
      xip_csn_o      : out std_ulogic; -- chip-select, low-active
1066
      xip_clk_o      : out std_ulogic; -- serial clock
1067
      xip_sdi_i      : in  std_ulogic := 'L'; -- device data input
1068
      xip_sdo_o      : out std_ulogic; -- controller data output
1069 61 zero_gravi
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
1070
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1071
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1072 62 zero_gravi
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
1073 61 zero_gravi
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
1074 62 zero_gravi
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
1075
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
1076 61 zero_gravi
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
1077 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
1078 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
1079 62 zero_gravi
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
1080 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
1081 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
1082 62 zero_gravi
      uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
1083 61 zero_gravi
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
1084 62 zero_gravi
      uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
1085 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
1086 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
1087 62 zero_gravi
      uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
1088 61 zero_gravi
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
1089 62 zero_gravi
      uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
1090 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
1091 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
1092
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
1093 62 zero_gravi
      spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
1094 61 zero_gravi
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
1095 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
1096 72 zero_gravi
      twi_sda_io     : inout std_logic; -- twi serial data line
1097
      twi_scl_io     : inout std_logic; -- twi serial clock line
1098 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
1099 70 zero_gravi
      pwm_o          : out std_ulogic_vector(59 downto 0); -- pwm channels
1100 47 zero_gravi
      -- Custom Functions Subsystem IO --
1101 62 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
1102 61 zero_gravi
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1103 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1104 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1105 59 zero_gravi
      -- System time --
1106 62 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1107 61 zero_gravi
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1108
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1109 70 zero_gravi
      xirq_i         : in  std_ulogic_vector(31 downto 0) := (others => 'L'); -- IRQ channels
1110 61 zero_gravi
      -- CPU Interrupts --
1111 62 zero_gravi
      mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
1112
      msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
1113
      mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
1114 2 zero_gravi
    );
1115
  end component;
1116
 
1117 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1118
  -- -------------------------------------------------------------------------------------------
1119
  component neorv32_cpu
1120
    generic (
1121
      -- General --
1122 62 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1123
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1124
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1125 4 zero_gravi
      -- RISC-V CPU Extensions --
1126 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1127 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1128 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1129
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1130
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1131
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1132
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1133
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1134 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1135
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1136 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1137
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1138 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean; -- implement custom (instr.) functions unit?
1139 62 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1140 72 zero_gravi
      -- Tuning Options --
1141 62 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1142
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1143
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1144
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1145 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1146 73 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..16)
1147
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
1148 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1149 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1150
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1151 4 zero_gravi
    );
1152
    port (
1153
      -- global control --
1154 71 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1155
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1156
      sleep_o       : out std_ulogic; -- cpu is in sleep mode when set
1157
      debug_o       : out std_ulogic; -- cpu is in debug mode when set
1158 12 zero_gravi
      -- instruction bus interface --
1159 71 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1160
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1161
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1162
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1163
      i_bus_we_o    : out std_ulogic; -- write enable
1164
      i_bus_re_o    : out std_ulogic; -- read enable
1165
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1166
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1167
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1168
      i_bus_fence_o : out std_ulogic; -- executed FENCEI operation
1169 73 zero_gravi
      i_bus_priv_o  : out std_ulogic; -- privilege level
1170 12 zero_gravi
      -- data bus interface --
1171 71 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1172
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1173
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1174
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1175
      d_bus_we_o    : out std_ulogic; -- write enable
1176
      d_bus_re_o    : out std_ulogic; -- read enable
1177
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1178
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1179
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1180
      d_bus_fence_o : out std_ulogic; -- executed FENCE operation
1181 73 zero_gravi
      d_bus_priv_o  : out std_ulogic; -- privilege level
1182 11 zero_gravi
      -- system time input from MTIME --
1183 71 zero_gravi
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1184 14 zero_gravi
      -- interrupts (risc-v compliant) --
1185 71 zero_gravi
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1186
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1187
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1188 14 zero_gravi
      -- fast interrupts (custom) --
1189 71 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1190 59 zero_gravi
      -- debug mode (halt) request --
1191 71 zero_gravi
      db_halt_req_i : in  std_ulogic
1192 4 zero_gravi
    );
1193
  end component;
1194
 
1195 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1196
  -- -------------------------------------------------------------------------------------------
1197
  component neorv32_cpu_control
1198
    generic (
1199
      -- General --
1200 70 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1201 62 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1202
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1203 2 zero_gravi
      -- RISC-V CPU Extensions --
1204 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1205 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1206 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1207
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1208
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1209
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1210
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1211
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1212 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1213
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1214 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1215
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1216 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean; -- implement custom (instr.) functions unit?
1217 62 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1218 56 zero_gravi
      -- Extension Options --
1219 72 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1220
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1221 62 zero_gravi
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1222
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1223 15 zero_gravi
      -- Physical memory protection (PMP) --
1224 73 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..16)
1225
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
1226 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1227 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1228
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1229 2 zero_gravi
    );
1230
    port (
1231
      -- global control --
1232
      clk_i         : in  std_ulogic; -- global clock, rising edge
1233
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1234
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1235
      -- status input --
1236 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1237 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1238
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1239 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1240 2 zero_gravi
      -- data input --
1241
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1242
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1243 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1244 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1245 2 zero_gravi
      -- data output --
1246
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1247 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1248
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1249 68 zero_gravi
      next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction)
1250 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1251 52 zero_gravi
      -- FPU interface --
1252
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1253 59 zero_gravi
      -- debug mode (halt) request --
1254
      db_halt_req_i : in  std_ulogic;
1255 14 zero_gravi
      -- interrupts (risc-v compliant) --
1256
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1257
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1258 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1259 14 zero_gravi
      -- fast interrupts (custom) --
1260 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1261 11 zero_gravi
      -- system time input from MTIME --
1262
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1263 15 zero_gravi
      -- physical memory protection --
1264
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1265
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1266 2 zero_gravi
      -- bus access exceptions --
1267
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1268
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1269
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1270
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1271
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1272
      be_load_i     : in  std_ulogic; -- bus error on load data access
1273 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1274 2 zero_gravi
    );
1275
  end component;
1276
 
1277
  -- Component: CPU Register File -----------------------------------------------------------
1278
  -- -------------------------------------------------------------------------------------------
1279
  component neorv32_cpu_regfile
1280
    generic (
1281 62 zero_gravi
      CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension?
1282 2 zero_gravi
    );
1283
    port (
1284
      -- global control --
1285
      clk_i  : in  std_ulogic; -- global clock, rising edge
1286
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1287
      -- data input --
1288 73 zero_gravi
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1289 2 zero_gravi
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1290 73 zero_gravi
      csr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1291
      pc2_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- next PC
1292 2 zero_gravi
      -- data output --
1293
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1294 65 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
1295 2 zero_gravi
    );
1296
  end component;
1297
 
1298
  -- Component: CPU ALU ---------------------------------------------------------------------
1299
  -- -------------------------------------------------------------------------------------------
1300
  component neorv32_cpu_alu
1301 11 zero_gravi
    generic (
1302 61 zero_gravi
      -- RISC-V CPU Extensions --
1303 66 zero_gravi
      CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
1304 62 zero_gravi
      CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
1305
      CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
1306
      CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1307 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu : boolean; -- implement custom (instr.) functions unit?
1308 61 zero_gravi
      -- Extension Options --
1309 62 zero_gravi
      FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
1310
      FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
1311 11 zero_gravi
    );
1312 2 zero_gravi
    port (
1313
      -- global control --
1314
      clk_i       : in  std_ulogic; -- global clock, rising edge
1315
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1316
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1317
      -- data input --
1318
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1319
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1320 68 zero_gravi
      pc_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- current PC
1321 2 zero_gravi
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1322
      -- data output --
1323 65 zero_gravi
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
1324 2 zero_gravi
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1325 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1326 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1327 2 zero_gravi
      -- status --
1328 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1329 2 zero_gravi
    );
1330
  end component;
1331
 
1332 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1333
  -- -------------------------------------------------------------------------------------------
1334
  component neorv32_cpu_cp_shifter
1335
    generic (
1336 62 zero_gravi
      FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations
1337 61 zero_gravi
    );
1338
    port (
1339
      -- global control --
1340
      clk_i   : in  std_ulogic; -- global clock, rising edge
1341
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1342
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1343
      start_i : in  std_ulogic; -- trigger operation
1344
      -- data input --
1345
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1346 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1347 61 zero_gravi
      -- result and status --
1348
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1349
      valid_o : out std_ulogic -- data output valid
1350
    );
1351
  end component;
1352
 
1353 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1354 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1355
  component neorv32_cpu_cp_muldiv
1356 19 zero_gravi
    generic (
1357 62 zero_gravi
      FAST_MUL_EN : boolean; -- use DSPs for faster multiplication
1358
      DIVISION_EN : boolean  -- implement divider hardware
1359 19 zero_gravi
    );
1360 2 zero_gravi
    port (
1361
      -- global control --
1362
      clk_i   : in  std_ulogic; -- global clock, rising edge
1363
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1364
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1365 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1366 2 zero_gravi
      -- data input --
1367
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1368
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1369
      -- result and status --
1370
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1371
      valid_o : out std_ulogic -- data output valid
1372
    );
1373
  end component;
1374
 
1375 63 zero_gravi
  -- Component: CPU Co-Processor Bit-Manipulation Unit ('B' extension) ----------------------
1376
  -- -------------------------------------------------------------------------------------------
1377
  component neorv32_cpu_cp_bitmanip is
1378
    generic (
1379 66 zero_gravi
      FAST_SHIFT_EN : boolean  -- use barrel shifter for shift operations
1380 63 zero_gravi
    );
1381
    port (
1382
      -- global control --
1383
      clk_i   : in  std_ulogic; -- global clock, rising edge
1384
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1385
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1386
      start_i : in  std_ulogic; -- trigger operation
1387
      -- data input --
1388
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1389
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1390
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1391 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1392 63 zero_gravi
      -- result and status --
1393
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1394
      valid_o : out std_ulogic -- data output valid
1395
    );
1396
  end component;
1397
 
1398 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1399 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1400
  component neorv32_cpu_cp_fpu
1401
    port (
1402
      -- global control --
1403 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1404
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1405
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1406
      start_i  : in  std_ulogic; -- trigger operation
1407 52 zero_gravi
      -- data input --
1408 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1409 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1410
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1411 52 zero_gravi
      -- result and status --
1412 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1413
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1414
      valid_o  : out std_ulogic -- data output valid
1415 52 zero_gravi
    );
1416
  end component;
1417
 
1418 72 zero_gravi
  -- Component: CPU Co-Processor Custom (Instr.) Functions Unit ('Zxcfu' extension) ---------
1419
  -- -------------------------------------------------------------------------------------------
1420
  component neorv32_cpu_cp_cfu
1421
    port (
1422
      -- global control --
1423
      clk_i   : in  std_ulogic; -- global clock, rising edge
1424
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1425
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1426
      start_i : in  std_ulogic; -- trigger operation
1427
      -- data input --
1428
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1429
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1430
      -- result and status --
1431
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1432
      valid_o : out std_ulogic -- data output valid
1433
    );
1434
  end component;
1435
 
1436 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1437
  -- -------------------------------------------------------------------------------------------
1438
  component neorv32_cpu_bus
1439
    generic (
1440 62 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
1441
      CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
1442 15 zero_gravi
      -- Physical memory protection (PMP) --
1443 73 zero_gravi
      PMP_NUM_REGIONS       : natural; -- number of regions (0..16)
1444
      PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
1445 2 zero_gravi
    );
1446
    port (
1447
      -- global control --
1448 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1449
      rstn_i        : in  std_ulogic := '0'; -- global reset, low-active, async
1450
      ctrl_i        : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1451 12 zero_gravi
      -- cpu instruction fetch interface --
1452 70 zero_gravi
      fetch_pc_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1453
      instr_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1454
      i_wait_o      : out std_ulogic; -- wait for fetch to complete
1455 12 zero_gravi
      --
1456 70 zero_gravi
      ma_instr_o    : out std_ulogic; -- misaligned instruction address
1457
      be_instr_o    : out std_ulogic; -- bus error on instruction access
1458 12 zero_gravi
      -- cpu data access interface --
1459 70 zero_gravi
      addr_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1460
      wdata_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1461
      rdata_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1462
      mar_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1463
      d_wait_o      : out std_ulogic; -- wait for access to complete
1464 12 zero_gravi
      --
1465 70 zero_gravi
      excl_state_o  : out std_ulogic; -- atomic/exclusive access status
1466
      ma_load_o     : out std_ulogic; -- misaligned load data address
1467
      ma_store_o    : out std_ulogic; -- misaligned store data address
1468
      be_load_o     : out std_ulogic; -- bus error on load data access
1469
      be_store_o    : out std_ulogic; -- bus error on store data access
1470 15 zero_gravi
      -- physical memory protection --
1471 70 zero_gravi
      pmp_addr_i    : in  pmp_addr_if_t; -- addresses
1472
      pmp_ctrl_i    : in  pmp_ctrl_if_t; -- configs
1473 12 zero_gravi
      -- instruction bus --
1474 70 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1475
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1476
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1477
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1478
      i_bus_we_o    : out std_ulogic; -- write enable
1479
      i_bus_re_o    : out std_ulogic; -- read enable
1480
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1481
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1482
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1483
      i_bus_fence_o : out std_ulogic; -- fence operation
1484 12 zero_gravi
      -- data bus --
1485 70 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1486
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1487
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1488
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1489
      d_bus_we_o    : out std_ulogic; -- write enable
1490
      d_bus_re_o    : out std_ulogic; -- read enable
1491
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1492
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1493
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1494
      d_bus_fence_o : out std_ulogic  -- fence operation
1495 2 zero_gravi
    );
1496
  end component;
1497
 
1498 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1499
  -- -------------------------------------------------------------------------------------------
1500
  component neorv32_bus_keeper is
1501
    port (
1502
      -- host access --
1503 66 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1504
      rstn_i     : in  std_ulogic; -- global reset, low-active, async
1505
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1506
      rden_i     : in  std_ulogic; -- read enable
1507
      wren_i     : in  std_ulogic; -- write enable
1508 70 zero_gravi
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1509 66 zero_gravi
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1510
      ack_o      : out std_ulogic; -- transfer acknowledge
1511
      err_o      : out std_ulogic; -- transfer error
1512
      -- bus monitoring --
1513
      bus_addr_i : in  std_ulogic_vector(31 downto 0); -- address
1514
      bus_rden_i : in  std_ulogic; -- read enable
1515
      bus_wren_i : in  std_ulogic; -- write enable
1516
      bus_ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1517 68 zero_gravi
      bus_err_i  : in  std_ulogic; -- transfer error from bus system
1518
      bus_tmo_i  : in  std_ulogic; -- transfer timeout (external interface)
1519 70 zero_gravi
      bus_ext_i  : in  std_ulogic; -- external bus access
1520
      bus_xip_i  : in  std_ulogic  -- pending XIP access
1521 57 zero_gravi
    );
1522
  end component;
1523
 
1524 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1525 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1526 45 zero_gravi
  component neorv32_icache
1527 41 zero_gravi
    generic (
1528 62 zero_gravi
      ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2
1529
      ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2
1530
      ICACHE_NUM_SETS   : natural  -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1531 41 zero_gravi
    );
1532
    port (
1533
      -- global control --
1534 70 zero_gravi
      clk_i        : in  std_ulogic; -- global clock, rising edge
1535
      rstn_i       : in  std_ulogic; -- global reset, low-active, async
1536
      clear_i      : in  std_ulogic; -- cache clear
1537 73 zero_gravi
      miss_o       : out std_ulogic; -- cache miss
1538 41 zero_gravi
      -- host controller interface --
1539 70 zero_gravi
      host_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1540
      host_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1541
      host_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1542
      host_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1543
      host_we_i    : in  std_ulogic; -- write enable
1544
      host_re_i    : in  std_ulogic; -- read enable
1545
      host_ack_o   : out std_ulogic; -- bus transfer acknowledge
1546
      host_err_o   : out std_ulogic; -- bus transfer error
1547 41 zero_gravi
      -- peripheral bus interface --
1548 70 zero_gravi
      bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1549
      bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1550
      bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1551
      bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1552
      bus_we_o     : out std_ulogic; -- write enable
1553
      bus_re_o     : out std_ulogic; -- read enable
1554
      bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1555
      bus_err_i    : in  std_ulogic  -- bus transfer error
1556 41 zero_gravi
    );
1557
  end component;
1558
 
1559 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1560
  -- -------------------------------------------------------------------------------------------
1561
  component neorv32_busswitch
1562
    generic (
1563 62 zero_gravi
      PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
1564
      PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
1565 12 zero_gravi
    );
1566
    port (
1567
      -- global control --
1568 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1569
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1570 12 zero_gravi
      -- controller interface a --
1571 70 zero_gravi
      ca_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1572
      ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1573
      ca_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1574
      ca_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1575
      ca_bus_we_i    : in  std_ulogic; -- write enable
1576
      ca_bus_re_i    : in  std_ulogic; -- read enable
1577
      ca_bus_lock_i  : in  std_ulogic; -- exclusive access request
1578
      ca_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1579
      ca_bus_err_o   : out std_ulogic; -- bus transfer error
1580 12 zero_gravi
      -- controller interface b --
1581 70 zero_gravi
      cb_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1582
      cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1583
      cb_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1584
      cb_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1585
      cb_bus_we_i    : in  std_ulogic; -- write enable
1586
      cb_bus_re_i    : in  std_ulogic; -- read enable
1587
      cb_bus_lock_i  : in  std_ulogic; -- exclusive access request
1588
      cb_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1589
      cb_bus_err_o   : out std_ulogic; -- bus transfer error
1590 12 zero_gravi
      -- peripheral bus --
1591 70 zero_gravi
      p_bus_src_o    : out std_ulogic; -- access source: 0 = A, 1 = B
1592
      p_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1593
      p_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1594
      p_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1595
      p_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1596
      p_bus_we_o     : out std_ulogic; -- write enable
1597
      p_bus_re_o     : out std_ulogic; -- read enable
1598
      p_bus_lock_o   : out std_ulogic; -- exclusive access request
1599
      p_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1600
      p_bus_err_i    : in  std_ulogic  -- bus transfer error
1601 12 zero_gravi
    );
1602
  end component;
1603
 
1604 70 zero_gravi
  -- Component: CPU Compressed Instructions De-Compressor -----------------------------------
1605 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1606
  component neorv32_cpu_decompressor
1607 73 zero_gravi
    generic (
1608
      FPU_ENABLE : boolean -- floating-point instruction enabled
1609
    );
1610 2 zero_gravi
    port (
1611
      -- instruction input --
1612
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1613
      -- instruction output --
1614
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1615
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1616
    );
1617
  end component;
1618
 
1619
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1620
  -- -------------------------------------------------------------------------------------------
1621
  component neorv32_imem
1622
    generic (
1623 62 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0); -- memory base address
1624
      IMEM_SIZE    : natural; -- processor-internal instruction memory size in bytes
1625
      IMEM_AS_IROM : boolean  -- implement IMEM as pre-initialized read-only memory?
1626 2 zero_gravi
    );
1627
    port (
1628
      clk_i  : in  std_ulogic; -- global clock line
1629
      rden_i : in  std_ulogic; -- read enable
1630
      wren_i : in  std_ulogic; -- write enable
1631
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1632
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1633
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1634
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1635 72 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
1636
      err_o  : out std_ulogic  -- transfer error
1637 2 zero_gravi
    );
1638
  end component;
1639
 
1640
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1641
  -- -------------------------------------------------------------------------------------------
1642
  component neorv32_dmem
1643
    generic (
1644 62 zero_gravi
      DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address
1645
      DMEM_SIZE : natural -- processor-internal instruction memory size in bytes
1646 2 zero_gravi
    );
1647
    port (
1648
      clk_i  : in  std_ulogic; -- global clock line
1649
      rden_i : in  std_ulogic; -- read enable
1650
      wren_i : in  std_ulogic; -- write enable
1651
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1652
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1653
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1654
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1655
      ack_o  : out std_ulogic -- transfer acknowledge
1656
    );
1657
  end component;
1658
 
1659
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1660
  -- -------------------------------------------------------------------------------------------
1661
  component neorv32_boot_rom
1662 23 zero_gravi
    generic (
1663 62 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address
1664 23 zero_gravi
    );
1665 2 zero_gravi
    port (
1666
      clk_i  : in  std_ulogic; -- global clock line
1667
      rden_i : in  std_ulogic; -- read enable
1668 72 zero_gravi
      wren_i : in  std_ulogic; -- write enable
1669 2 zero_gravi
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1670
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1671 72 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
1672
      err_o  : out std_ulogic  -- transfer error
1673 2 zero_gravi
    );
1674
  end component;
1675
 
1676
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1677
  -- -------------------------------------------------------------------------------------------
1678
  component neorv32_mtime
1679
    port (
1680
      -- host access --
1681 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1682
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1683
      rden_i : in  std_ulogic; -- read enable
1684
      wren_i : in  std_ulogic; -- write enable
1685
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1686
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1687
      ack_o  : out std_ulogic; -- transfer acknowledge
1688 11 zero_gravi
      -- time output for CPU --
1689 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1690 2 zero_gravi
      -- interrupt --
1691 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1692 2 zero_gravi
    );
1693
  end component;
1694
 
1695
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1696
  -- -------------------------------------------------------------------------------------------
1697
  component neorv32_gpio
1698
    port (
1699
      -- host access --
1700
      clk_i  : in  std_ulogic; -- global clock line
1701
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1702
      rden_i : in  std_ulogic; -- read enable
1703
      wren_i : in  std_ulogic; -- write enable
1704
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1705
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1706
      ack_o  : out std_ulogic; -- transfer acknowledge
1707 70 zero_gravi
      err_o  : out std_ulogic; -- transfer error
1708 2 zero_gravi
      -- parallel io --
1709 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1710
      gpio_i : in  std_ulogic_vector(63 downto 0)
1711 2 zero_gravi
    );
1712
  end component;
1713
 
1714
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1715
  -- -------------------------------------------------------------------------------------------
1716
  component neorv32_wdt
1717 69 zero_gravi
    generic (
1718
      DEBUG_EN : boolean -- CPU debug mode implemented?
1719
    );
1720 2 zero_gravi
    port (
1721
      -- host access --
1722
      clk_i       : in  std_ulogic; -- global clock line
1723
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1724
      rden_i      : in  std_ulogic; -- read enable
1725
      wren_i      : in  std_ulogic; -- write enable
1726
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1727
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1728
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1729
      ack_o       : out std_ulogic; -- transfer acknowledge
1730 69 zero_gravi
      -- CPU in debug mode? --
1731
      cpu_debug_i : in  std_ulogic;
1732 2 zero_gravi
      -- clock generator --
1733
      clkgen_en_o : out std_ulogic; -- enable clock generator
1734
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1735
      -- timeout event --
1736
      irq_o       : out std_ulogic; -- timeout IRQ
1737
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1738
    );
1739
  end component;
1740
 
1741
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1742
  -- -------------------------------------------------------------------------------------------
1743
  component neorv32_uart
1744 50 zero_gravi
    generic (
1745 65 zero_gravi
      UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1)
1746
      UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1
1747
      UART_TX_FIFO : natural  -- TX fifo depth, has to be a power of two, min 1
1748 50 zero_gravi
    );
1749 2 zero_gravi
    port (
1750
      -- host access --
1751
      clk_i       : in  std_ulogic; -- global clock line
1752
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1753
      rden_i      : in  std_ulogic; -- read enable
1754
      wren_i      : in  std_ulogic; -- write enable
1755
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1756
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1757
      ack_o       : out std_ulogic; -- transfer acknowledge
1758
      -- clock generator --
1759
      clkgen_en_o : out std_ulogic; -- enable clock generator
1760
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1761
      -- com lines --
1762
      uart_txd_o  : out std_ulogic;
1763
      uart_rxd_i  : in  std_ulogic;
1764 51 zero_gravi
      -- hardware flow control --
1765
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1766
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1767 2 zero_gravi
      -- interrupts --
1768 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1769
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1770 2 zero_gravi
    );
1771
  end component;
1772
 
1773
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1774
  -- -------------------------------------------------------------------------------------------
1775
  component neorv32_spi
1776
    port (
1777
      -- host access --
1778
      clk_i       : in  std_ulogic; -- global clock line
1779
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1780
      rden_i      : in  std_ulogic; -- read enable
1781
      wren_i      : in  std_ulogic; -- write enable
1782
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1783
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1784
      ack_o       : out std_ulogic; -- transfer acknowledge
1785
      -- clock generator --
1786
      clkgen_en_o : out std_ulogic; -- enable clock generator
1787
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1788
      -- com lines --
1789 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1790
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1791
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1792 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1793
      -- interrupt --
1794 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1795 2 zero_gravi
    );
1796
  end component;
1797
 
1798
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1799
  -- -------------------------------------------------------------------------------------------
1800
  component neorv32_twi
1801
    port (
1802
      -- host access --
1803
      clk_i       : in  std_ulogic; -- global clock line
1804
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1805
      rden_i      : in  std_ulogic; -- read enable
1806
      wren_i      : in  std_ulogic; -- write enable
1807
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1808
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1809
      ack_o       : out std_ulogic; -- transfer acknowledge
1810
      -- clock generator --
1811
      clkgen_en_o : out std_ulogic; -- enable clock generator
1812
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1813
      -- com lines --
1814
      twi_sda_io  : inout std_logic; -- serial data line
1815
      twi_scl_io  : inout std_logic; -- serial clock line
1816
      -- interrupt --
1817 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1818 2 zero_gravi
    );
1819
  end component;
1820
 
1821
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1822
  -- -------------------------------------------------------------------------------------------
1823
  component neorv32_pwm
1824 60 zero_gravi
    generic (
1825 62 zero_gravi
      NUM_CHANNELS : natural -- number of PWM channels (0..60)
1826 60 zero_gravi
    );
1827 2 zero_gravi
    port (
1828
      -- host access --
1829
      clk_i       : in  std_ulogic; -- global clock line
1830
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1831
      rden_i      : in  std_ulogic; -- read enable
1832
      wren_i      : in  std_ulogic; -- write enable
1833
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1834
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1835
      ack_o       : out std_ulogic; -- transfer acknowledge
1836
      -- clock generator --
1837
      clkgen_en_o : out std_ulogic; -- enable clock generator
1838
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1839
      -- pwm output channels --
1840 70 zero_gravi
      pwm_o       : out std_ulogic_vector(59 downto 0)
1841 2 zero_gravi
    );
1842
  end component;
1843
 
1844
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1845
  -- -------------------------------------------------------------------------------------------
1846
  component neorv32_trng
1847
    port (
1848
      -- host access --
1849
      clk_i  : in  std_ulogic; -- global clock line
1850
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1851
      rden_i : in  std_ulogic; -- read enable
1852
      wren_i : in  std_ulogic; -- write enable
1853
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1854
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1855
      ack_o  : out std_ulogic  -- transfer acknowledge
1856
    );
1857
  end component;
1858
 
1859
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1860
  -- -------------------------------------------------------------------------------------------
1861
  component neorv32_wishbone
1862
    generic (
1863 23 zero_gravi
      -- Internal instruction memory --
1864 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1865
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1866 23 zero_gravi
      -- Internal data memory --
1867 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1868
      MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
1869
      -- Interface Configuration --
1870
      BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1871
      PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1872
      BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
1873
      ASYNC_RX          : boolean  -- use register buffer for RX data when false
1874 2 zero_gravi
    );
1875
    port (
1876
      -- global control --
1877 70 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1878
      rstn_i     : in  std_ulogic; -- global reset line, low-active
1879 2 zero_gravi
      -- host access --
1880 70 zero_gravi
      src_i      : in  std_ulogic; -- access type (0: data, 1:instruction)
1881
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1882
      rden_i     : in  std_ulogic; -- read enable
1883
      wren_i     : in  std_ulogic; -- write enable
1884
      ben_i      : in  std_ulogic_vector(03 downto 0); -- byte write enable
1885
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1886
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1887
      lock_i     : in  std_ulogic; -- exclusive access request
1888
      ack_o      : out std_ulogic; -- transfer acknowledge
1889
      err_o      : out std_ulogic; -- transfer error
1890
      tmo_o      : out std_ulogic; -- transfer timeout
1891 73 zero_gravi
      priv_i     : in  std_ulogic; -- current CPU privilege level
1892 70 zero_gravi
      ext_o      : out std_ulogic; -- active external access
1893
      -- xip configuration --
1894
      xip_en_i   : in  std_ulogic; -- XIP module enabled
1895
      xip_page_i : in  std_ulogic_vector(03 downto 0); -- XIP memory page
1896 2 zero_gravi
      -- wishbone interface --
1897 70 zero_gravi
      wb_tag_o   : out std_ulogic_vector(02 downto 0); -- request tag
1898
      wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
1899
      wb_dat_i   : in  std_ulogic_vector(31 downto 0); -- read data
1900
      wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
1901
      wb_we_o    : out std_ulogic; -- read/write
1902
      wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1903
      wb_stb_o   : out std_ulogic; -- strobe
1904
      wb_cyc_o   : out std_ulogic; -- valid cycle
1905
      wb_lock_o  : out std_ulogic; -- exclusive access request
1906
      wb_ack_i   : in  std_ulogic; -- transfer acknowledge
1907
      wb_err_i   : in  std_ulogic  -- transfer error
1908 2 zero_gravi
    );
1909
  end component;
1910
 
1911 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1912 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1913 47 zero_gravi
  component neorv32_cfs
1914
    generic (
1915 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1916 62 zero_gravi
      CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
1917
      CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
1918 23 zero_gravi
    );
1919 34 zero_gravi
    port (
1920
      -- host access --
1921
      clk_i       : in  std_ulogic; -- global clock line
1922
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1923
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1924
      rden_i      : in  std_ulogic; -- read enable
1925 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1926 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1927
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1928
      ack_o       : out std_ulogic; -- transfer acknowledge
1929 68 zero_gravi
      err_o       : out std_ulogic; -- transfer error
1930 34 zero_gravi
      -- clock generator --
1931
      clkgen_en_o : out std_ulogic; -- enable clock generator
1932 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1933
      -- interrupt --
1934
      irq_o       : out std_ulogic; -- interrupt request
1935
      -- custom io (conduit) --
1936 62 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs
1937
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs
1938 34 zero_gravi
    );
1939
  end component;
1940
 
1941 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1942 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1943 61 zero_gravi
  component neorv32_neoled
1944 62 zero_gravi
    generic (
1945
      FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two)
1946
    );
1947 49 zero_gravi
    port (
1948
      -- host access --
1949
      clk_i       : in  std_ulogic; -- global clock line
1950
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1951
      rden_i      : in  std_ulogic; -- read enable
1952
      wren_i      : in  std_ulogic; -- write enable
1953
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1954
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1955
      ack_o       : out std_ulogic; -- transfer acknowledge
1956
      -- clock generator --
1957
      clkgen_en_o : out std_ulogic; -- enable clock generator
1958
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1959 61 zero_gravi
      -- interrupt --
1960
      irq_o       : out std_ulogic; -- interrupt request
1961
      -- NEOLED output --
1962
      neoled_o    : out std_ulogic -- serial async data line
1963 49 zero_gravi
    );
1964
  end component;
1965
 
1966 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1967 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1968 61 zero_gravi
  component neorv32_slink
1969
    generic (
1970 62 zero_gravi
      SLINK_NUM_TX  : natural; -- number of TX links (0..8)
1971
      SLINK_NUM_RX  : natural; -- number of TX links (0..8)
1972
      SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
1973
      SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
1974 61 zero_gravi
    );
1975 52 zero_gravi
    port (
1976
      -- host access --
1977 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1978
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1979
      rden_i         : in  std_ulogic; -- read enable
1980
      wren_i         : in  std_ulogic; -- write enable
1981
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1982
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1983
      ack_o          : out std_ulogic; -- transfer acknowledge
1984 52 zero_gravi
      -- interrupt --
1985 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1986
      irq_rx_o       : out std_ulogic; -- data received
1987
      -- TX stream interfaces --
1988
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1989
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1990
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1991
      -- RX stream interfaces --
1992
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1993
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1994
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
1995 52 zero_gravi
    );
1996
  end component;
1997
 
1998 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
1999
  -- -------------------------------------------------------------------------------------------
2000
  component neorv32_xirq
2001
    generic (
2002 62 zero_gravi
      XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
2003
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
2004
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
2005 61 zero_gravi
    );
2006
    port (
2007
      -- host access --
2008
      clk_i     : in  std_ulogic; -- global clock line
2009
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
2010
      rden_i    : in  std_ulogic; -- read enable
2011
      wren_i    : in  std_ulogic; -- write enable
2012
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
2013
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
2014
      ack_o     : out std_ulogic; -- transfer acknowledge
2015
      -- external interrupt lines --
2016 70 zero_gravi
      xirq_i    : in  std_ulogic_vector(31 downto 0);
2017 61 zero_gravi
      -- CPU interrupt --
2018
      cpu_irq_o : out std_ulogic
2019
    );
2020
  end component;
2021
 
2022 67 zero_gravi
  -- Component: General Purpose Timer (GPTMR) -----------------------------------------------
2023
  -- -------------------------------------------------------------------------------------------
2024
  component neorv32_gptmr
2025
    port (
2026
      -- host access --
2027
      clk_i       : in  std_ulogic; -- global clock line
2028
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
2029
      rden_i      : in  std_ulogic; -- read enable
2030
      wren_i      : in  std_ulogic; -- write enable
2031
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
2032
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
2033
      ack_o       : out std_ulogic; -- transfer acknowledge
2034
      -- clock generator --
2035
      clkgen_en_o : out std_ulogic; -- enable clock generator
2036
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2037
      -- interrupt --
2038
      irq_o       : out std_ulogic -- transmission done interrupt
2039
    );
2040
  end component;
2041
 
2042 70 zero_gravi
  -- Component: Execute In Place Module (XIP) -----------------------------------------------
2043
  -- -------------------------------------------------------------------------------------------
2044
  component neorv32_xip
2045
    port (
2046
      -- globals --
2047
      clk_i       : in  std_ulogic; -- global clock line
2048
      rstn_i      : in  std_ulogic; -- global reset line, low-active
2049
      -- host access: control register access port --
2050
      ct_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
2051
      ct_rden_i   : in  std_ulogic; -- read enable
2052
      ct_wren_i   : in  std_ulogic; -- write enable
2053
      ct_data_i   : in  std_ulogic_vector(31 downto 0); -- data in
2054
      ct_data_o   : out std_ulogic_vector(31 downto 0); -- data out
2055
      ct_ack_o    : out std_ulogic; -- transfer acknowledge
2056
      -- host access: instruction fetch access port (read-only) --
2057
      if_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
2058
      if_rden_i   : in  std_ulogic; -- read enable
2059
      if_data_o   : out std_ulogic_vector(31 downto 0); -- data out
2060
      if_ack_o    : out std_ulogic; -- transfer acknowledge
2061
      -- status --
2062
      xip_en_o    : out std_ulogic; -- XIP enable
2063
      xip_acc_o   : out std_ulogic; -- pending XIP access
2064
      xip_page_o  : out std_ulogic_vector(03 downto 0); -- XIP page
2065
      -- clock generator --
2066
      clkgen_en_o : out std_ulogic; -- enable clock generator
2067
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2068
      -- SPI device interface --
2069
      spi_csn_o   : out std_ulogic; -- chip-select, low-active
2070
      spi_clk_o   : out std_ulogic; -- serial clock
2071
      spi_data_i  : in  std_ulogic; -- device data output
2072
      spi_data_o  : out std_ulogic  -- controller data output
2073
    );
2074
  end component;
2075
 
2076 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
2077
  -- -------------------------------------------------------------------------------------------
2078 12 zero_gravi
  component neorv32_sysinfo
2079
    generic (
2080
      -- General --
2081 72 zero_gravi
      CLOCK_FREQUENCY      : natural; -- clock frequency of clk_i in Hz
2082
      INT_BOOTLOADER_EN    : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
2083 63 zero_gravi
      -- Physical memory protection (PMP) --
2084 73 zero_gravi
      PMP_NUM_REGIONS      : natural; -- number of regions (0..16)
2085 23 zero_gravi
      -- Internal Instruction memory --
2086 72 zero_gravi
      MEM_INT_IMEM_EN      : boolean; -- implement processor-internal instruction memory
2087
      MEM_INT_IMEM_SIZE    : natural; -- size of processor-internal instruction memory in bytes
2088 23 zero_gravi
      -- Internal Data memory --
2089 72 zero_gravi
      MEM_INT_DMEM_EN      : boolean; -- implement processor-internal data memory
2090
      MEM_INT_DMEM_SIZE    : natural; -- size of processor-internal data memory in bytes
2091 41 zero_gravi
      -- Internal Cache memory --
2092 72 zero_gravi
      ICACHE_EN            : boolean; -- implement instruction cache
2093
      ICACHE_NUM_BLOCKS    : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
2094
      ICACHE_BLOCK_SIZE    : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
2095
      ICACHE_ASSOCIATIVITY : natural; -- i-cache: associativity (min 1), has to be a power 2
2096 23 zero_gravi
      -- External memory interface --
2097 72 zero_gravi
      MEM_EXT_EN           : boolean; -- implement external memory bus interface?
2098
      MEM_EXT_BIG_ENDIAN   : boolean; -- byte order: true=big-endian, false=little-endian
2099 59 zero_gravi
      -- On-Chip Debugger --
2100 72 zero_gravi
      ON_CHIP_DEBUGGER_EN  : boolean; -- implement OCD?
2101 12 zero_gravi
      -- Processor peripherals --
2102 72 zero_gravi
      IO_GPIO_EN           : boolean; -- implement general purpose input/output port unit (GPIO)?
2103
      IO_MTIME_EN          : boolean; -- implement machine system timer (MTIME)?
2104
      IO_UART0_EN          : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
2105
      IO_UART1_EN          : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
2106
      IO_SPI_EN            : boolean; -- implement serial peripheral interface (SPI)?
2107
      IO_TWI_EN            : boolean; -- implement two-wire interface (TWI)?
2108
      IO_PWM_NUM_CH        : natural; -- number of PWM channels to implement
2109
      IO_WDT_EN            : boolean; -- implement watch dog timer (WDT)?
2110
      IO_TRNG_EN           : boolean; -- implement true random number generator (TRNG)?
2111
      IO_CFS_EN            : boolean; -- implement custom functions subsystem (CFS)?
2112
      IO_SLINK_EN          : boolean; -- implement stream link interface?
2113
      IO_NEOLED_EN         : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
2114
      IO_XIRQ_NUM_CH       : natural; -- number of external interrupt (XIRQ) channels to implement
2115
      IO_GPTMR_EN          : boolean; -- implement general purpose timer (GPTMR)?
2116
      IO_XIP_EN            : boolean  -- implement execute in place module (XIP)?
2117 12 zero_gravi
    );
2118
    port (
2119
      -- host access --
2120
      clk_i  : in  std_ulogic; -- global clock line
2121
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
2122
      rden_i : in  std_ulogic; -- read enable
2123 70 zero_gravi
      wren_i : in  std_ulogic; -- write enable
2124 12 zero_gravi
      data_o : out std_ulogic_vector(31 downto 0); -- data out
2125 70 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
2126
      err_o  : out std_ulogic  -- transfer error
2127 12 zero_gravi
    );
2128
  end component;
2129
 
2130 62 zero_gravi
  -- Component: General Purpose FIFO --------------------------------------------------------
2131 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
2132
  component neorv32_fifo
2133
    generic (
2134 62 zero_gravi
      FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1
2135
      FIFO_WIDTH : natural; -- size of data elements in fifo
2136
      FIFO_RSYNC : boolean; -- false = async read; true = sync read
2137
      FIFO_SAFE  : boolean  -- true = allow read/write only if entry available
2138 61 zero_gravi
    );
2139
    port (
2140
      -- control --
2141
      clk_i   : in  std_ulogic; -- clock, rising edge
2142
      rstn_i  : in  std_ulogic; -- async reset, low-active
2143
      clear_i : in  std_ulogic; -- sync reset, high-active
2144 62 zero_gravi
      level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level
2145 65 zero_gravi
      half_o  : out std_ulogic; -- FIFO is at least half full
2146 61 zero_gravi
      -- write port --
2147
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
2148
      we_i    : in  std_ulogic; -- write enable
2149
      free_o  : out std_ulogic; -- at least one entry is free when set
2150
      -- read port --
2151
      re_i    : in  std_ulogic; -- read enable
2152
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
2153
      avail_o : out std_ulogic  -- data available when set
2154
    );
2155
  end component;
2156
 
2157 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
2158
  -- -------------------------------------------------------------------------------------------
2159
  component neorv32_debug_dm
2160
    port (
2161
      -- global control --
2162
      clk_i            : in  std_ulogic; -- global clock line
2163
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2164
      -- debug module interface (DMI) --
2165
      dmi_rstn_i       : in  std_ulogic;
2166
      dmi_req_valid_i  : in  std_ulogic;
2167
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
2168
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
2169
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
2170
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
2171
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
2172
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
2173
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
2174
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
2175
      -- CPU bus access --
2176 71 zero_gravi
      cpu_debug_i      : in  std_ulogic; -- CPU is in debug mode
2177 59 zero_gravi
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
2178
      cpu_rden_i       : in  std_ulogic; -- read enable
2179
      cpu_wren_i       : in  std_ulogic; -- write enable
2180
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
2181
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
2182
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
2183
      -- CPU control --
2184
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
2185
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
2186
    );
2187
  end component;
2188
 
2189
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
2190
  -- -------------------------------------------------------------------------------------------
2191
  component neorv32_debug_dtm
2192
    generic (
2193 62 zero_gravi
      IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version
2194
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0); -- part number
2195
      IDCODE_MANID   : std_ulogic_vector(10 downto 0)  -- manufacturer id
2196 59 zero_gravi
    );
2197
    port (
2198
      -- global control --
2199
      clk_i            : in  std_ulogic; -- global clock line
2200
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2201
      -- jtag connection --
2202
      jtag_trst_i      : in  std_ulogic;
2203
      jtag_tck_i       : in  std_ulogic;
2204
      jtag_tdi_i       : in  std_ulogic;
2205
      jtag_tdo_o       : out std_ulogic;
2206
      jtag_tms_i       : in  std_ulogic;
2207
      -- debug module interface (DMI) --
2208
      dmi_rstn_o       : out std_ulogic;
2209
      dmi_req_valid_o  : out std_ulogic;
2210
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
2211
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
2212
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
2213
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
2214
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
2215
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
2216
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
2217
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
2218
    );
2219
  end component;
2220
 
2221 2 zero_gravi
end neorv32_package;
2222
 
2223
package body neorv32_package is
2224
 
2225 69 zero_gravi
  -- Function: Minimal required number of bits to represent <input> numbers -----------------
2226 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2227
  function index_size_f(input : natural) return natural is
2228
  begin
2229
    for i in 0 to natural'high loop
2230
      if (2**i >= input) then
2231
        return i;
2232
      end if;
2233
    end loop; -- i
2234
    return 0;
2235
  end function index_size_f;
2236
 
2237
  -- Function: Conditional select natural ---------------------------------------------------
2238
  -- -------------------------------------------------------------------------------------------
2239
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2240
  begin
2241
    if (cond = true) then
2242
      return val_t;
2243
    else
2244
      return val_f;
2245
    end if;
2246
  end function cond_sel_natural_f;
2247
 
2248 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2249
  -- -------------------------------------------------------------------------------------------
2250
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2251
  begin
2252
    if (cond = true) then
2253
      return val_t;
2254
    else
2255
      return val_f;
2256
    end if;
2257
  end function cond_sel_int_f;
2258
 
2259 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2260
  -- -------------------------------------------------------------------------------------------
2261
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2262
  begin
2263
    if (cond = true) then
2264
      return val_t;
2265
    else
2266
      return val_f;
2267
    end if;
2268
  end function cond_sel_stdulogicvector_f;
2269
 
2270 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2271
  -- -------------------------------------------------------------------------------------------
2272
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2273
  begin
2274
    if (cond = true) then
2275
      return val_t;
2276
    else
2277
      return val_f;
2278
    end if;
2279
  end function cond_sel_stdulogic_f;
2280
 
2281 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2282 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2283 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2284
  begin
2285
    if (cond = true) then
2286
      return val_t;
2287
    else
2288
      return val_f;
2289
    end if;
2290
  end function cond_sel_string_f;
2291
 
2292
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2293
  -- -------------------------------------------------------------------------------------------
2294 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2295
  begin
2296
    if (cond = true) then
2297
      return '1';
2298
    else
2299
      return '0';
2300
    end if;
2301
  end function bool_to_ulogic_f;
2302
 
2303 71 zero_gravi
  -- Function: Convert binary to gray -------------------------------------------------------
2304
  -- -------------------------------------------------------------------------------------------
2305
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector is
2306
    variable tmp_v : std_ulogic_vector(input'range);
2307
  begin
2308
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2309
    for i in input'length-2 downto 0 loop
2310
      tmp_v(i) := input(i) xor input(i+1);
2311
    end loop; -- i
2312
    return tmp_v;
2313
  end function bin_to_gray_f;
2314
 
2315
  -- Function: Convert gray to binary -------------------------------------------------------
2316
  -- -------------------------------------------------------------------------------------------
2317
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector is
2318
    variable tmp_v : std_ulogic_vector(input'range);
2319
  begin
2320
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2321
    for i in input'length-2 downto 0 loop
2322
      tmp_v(i) := tmp_v(i+1) xor input(i);
2323
    end loop; -- i
2324
    return tmp_v;
2325
  end function gray_to_bin_f;
2326
 
2327 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2328 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2329 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2330 2 zero_gravi
    variable tmp_v : std_ulogic;
2331
  begin
2332 56 zero_gravi
    tmp_v := '0';
2333 65 zero_gravi
    for i in a'range loop
2334
      tmp_v := tmp_v or a(i);
2335
    end loop; -- i
2336 2 zero_gravi
    return tmp_v;
2337 60 zero_gravi
  end function or_reduce_f;
2338 2 zero_gravi
 
2339 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2340 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2341 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2342 2 zero_gravi
    variable tmp_v : std_ulogic;
2343
  begin
2344 56 zero_gravi
    tmp_v := '1';
2345 65 zero_gravi
    for i in a'range loop
2346
      tmp_v := tmp_v and a(i);
2347
    end loop; -- i
2348 2 zero_gravi
    return tmp_v;
2349 60 zero_gravi
  end function and_reduce_f;
2350 2 zero_gravi
 
2351 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2352 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2353 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2354 2 zero_gravi
    variable tmp_v : std_ulogic;
2355
  begin
2356 56 zero_gravi
    tmp_v := '0';
2357 65 zero_gravi
    for i in a'range loop
2358
      tmp_v := tmp_v xor a(i);
2359
    end loop; -- i
2360 2 zero_gravi
    return tmp_v;
2361 60 zero_gravi
  end function xor_reduce_f;
2362 2 zero_gravi
 
2363 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2364 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2365
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2366
    variable output_v : character;
2367
  begin
2368
    case input is
2369 7 zero_gravi
      when x"0"   => output_v := '0';
2370
      when x"1"   => output_v := '1';
2371
      when x"2"   => output_v := '2';
2372
      when x"3"   => output_v := '3';
2373
      when x"4"   => output_v := '4';
2374
      when x"5"   => output_v := '5';
2375
      when x"6"   => output_v := '6';
2376
      when x"7"   => output_v := '7';
2377
      when x"8"   => output_v := '8';
2378
      when x"9"   => output_v := '9';
2379
      when x"a"   => output_v := 'a';
2380
      when x"b"   => output_v := 'b';
2381
      when x"c"   => output_v := 'c';
2382
      when x"d"   => output_v := 'd';
2383
      when x"e"   => output_v := 'e';
2384
      when x"f"   => output_v := 'f';
2385 6 zero_gravi
      when others => output_v := '?';
2386
    end case;
2387
    return output_v;
2388
  end function to_hexchar_f;
2389
 
2390 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2391
  -- -------------------------------------------------------------------------------------------
2392
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2393
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2394
  begin
2395
    case input is
2396
      when '0'       => hex_value_v := x"0";
2397
      when '1'       => hex_value_v := x"1";
2398
      when '2'       => hex_value_v := x"2";
2399
      when '3'       => hex_value_v := x"3";
2400
      when '4'       => hex_value_v := x"4";
2401
      when '5'       => hex_value_v := x"5";
2402
      when '6'       => hex_value_v := x"6";
2403
      when '7'       => hex_value_v := x"7";
2404
      when '8'       => hex_value_v := x"8";
2405
      when '9'       => hex_value_v := x"9";
2406
      when 'a' | 'A' => hex_value_v := x"a";
2407
      when 'b' | 'B' => hex_value_v := x"b";
2408
      when 'c' | 'C' => hex_value_v := x"c";
2409
      when 'd' | 'D' => hex_value_v := x"d";
2410
      when 'e' | 'E' => hex_value_v := x"e";
2411
      when 'f' | 'F' => hex_value_v := x"f";
2412
      when others    => hex_value_v := (others => 'X');
2413
    end case;
2414
    return hex_value_v;
2415
  end function hexchar_to_stdulogicvector_f;
2416
 
2417 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2418
  -- -------------------------------------------------------------------------------------------
2419
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2420
    variable output_v : std_ulogic_vector(input'range);
2421
  begin
2422
    for i in 0 to input'length-1 loop
2423
      output_v(input'length-i-1) := input(i);
2424
    end loop; -- i
2425
    return output_v;
2426
  end function bit_rev_f;
2427
 
2428 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2429
  -- -------------------------------------------------------------------------------------------
2430
  function is_power_of_two_f(input : natural) return boolean is
2431
  begin
2432 38 zero_gravi
    if (input = 1) then -- 2^0
2433 36 zero_gravi
      return true;
2434 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2435
      return true;
2436 36 zero_gravi
    else
2437
      return false;
2438
    end if;
2439
  end function is_power_of_two_f;
2440
 
2441 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2442
  -- -------------------------------------------------------------------------------------------
2443
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2444
    variable output_v : std_ulogic_vector(input'range);
2445
  begin
2446
    output_v(07 downto 00) := input(31 downto 24);
2447
    output_v(15 downto 08) := input(23 downto 16);
2448
    output_v(23 downto 16) := input(15 downto 08);
2449
    output_v(31 downto 24) := input(07 downto 00);
2450
    return output_v;
2451
  end function bswap32_f;
2452
 
2453 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2454
  -- -------------------------------------------------------------------------------------------
2455 62 zero_gravi
  function char_to_lower_f(ch : character) return character is
2456 61 zero_gravi
    variable res: character;
2457
   begin
2458
     case ch is
2459
       when 'A'    => res := 'a';
2460
       when 'B'    => res := 'b';
2461
       when 'C'    => res := 'c';
2462
       when 'D'    => res := 'd';
2463
       when 'E'    => res := 'e';
2464
       when 'F'    => res := 'f';
2465
       when 'G'    => res := 'g';
2466
       when 'H'    => res := 'h';
2467
       when 'I'    => res := 'i';
2468
       when 'J'    => res := 'j';
2469
       when 'K'    => res := 'k';
2470
       when 'L'    => res := 'l';
2471
       when 'M'    => res := 'm';
2472
       when 'N'    => res := 'n';
2473
       when 'O'    => res := 'o';
2474
       when 'P'    => res := 'p';
2475
       when 'Q'    => res := 'q';
2476
       when 'R'    => res := 'r';
2477
       when 'S'    => res := 's';
2478
       when 'T'    => res := 't';
2479
       when 'U'    => res := 'u';
2480
       when 'V'    => res := 'v';
2481
       when 'W'    => res := 'w';
2482
       when 'X'    => res := 'x';
2483
       when 'Y'    => res := 'y';
2484
       when 'Z'    => res := 'z';
2485
       when others => res := ch;
2486
      end case;
2487
    return res;
2488 62 zero_gravi
  end function char_to_lower_f;
2489 61 zero_gravi
 
2490
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2491
  -- -------------------------------------------------------------------------------------------
2492
  function str_equal_f(str0 : string; str1 : string) return boolean is
2493
    variable tmp0_v : string(str0'range);
2494
    variable tmp1_v : string(str1'range);
2495
  begin
2496
    if (str0'length /= str1'length) then -- equal length?
2497
      return false;
2498
    else
2499
      -- convert to lower case --
2500
      for i in str0'range loop
2501 62 zero_gravi
        tmp0_v(i) := char_to_lower_f(str0(i));
2502 61 zero_gravi
      end loop;
2503
      for i in str1'range loop
2504 62 zero_gravi
        tmp1_v(i) := char_to_lower_f(str1(i));
2505 61 zero_gravi
      end loop;
2506
      -- compare lowercase strings --
2507
      if (tmp0_v = tmp1_v) then
2508
        return true;
2509
      else
2510
        return false;
2511
      end if;
2512
    end if;
2513
  end function str_equal_f;
2514
 
2515 63 zero_gravi
  -- Function: Population count (number of set bits) ----------------------------------------
2516
  -- -------------------------------------------------------------------------------------------
2517
  function popcount_f(input : std_ulogic_vector) return natural is
2518
    variable cnt_v : natural range 0 to input'length;
2519
  begin
2520
    cnt_v := 0;
2521
    for i in input'length-1 downto 0 loop
2522
      if (input(i) = '1') then
2523
        cnt_v := cnt_v + 1;
2524
      end if;
2525
    end loop; -- i
2526
    return cnt_v;
2527
  end function popcount_f;
2528
 
2529
  -- Function: Count leading zeros ----------------------------------------------------------
2530
  -- -------------------------------------------------------------------------------------------
2531
  function leading_zeros_f(input : std_ulogic_vector) return natural is
2532
    variable cnt_v : natural range 0 to input'length;
2533
  begin
2534
    cnt_v := 0;
2535
    for i in input'length-1 downto 0 loop
2536
      if (input(i) = '0') then
2537
        cnt_v := cnt_v + 1;
2538
      else
2539
        exit;
2540
      end if;
2541
    end loop; -- i
2542
    return cnt_v;
2543
  end function leading_zeros_f;
2544
 
2545 61 zero_gravi
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2546
  -- -------------------------------------------------------------------------------------------
2547
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2548
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2549
    variable mem_v : mem32_t(0 to depth-1);
2550
  begin
2551 62 zero_gravi
    mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2552
    if (init'length > depth) then
2553
      return mem_v;
2554
    end if;
2555
    for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2556
      mem_v(idx_v) := init(idx_v);
2557
    end loop; -- idx_v
2558 61 zero_gravi
    return mem_v;
2559
  end function mem32_init_f;
2560
 
2561 62 zero_gravi
 
2562 70 zero_gravi
  -- Finally set deferred constant, see IEEE 1076-2008 14.4.2.1 (NEORV32 Issue #242) --------
2563
  -- -------------------------------------------------------------------------------------------
2564
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
2565
 
2566
 
2567 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.