OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 27 zero_gravi
  -- Architecture Constants -----------------------------------------------------------------
42 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
43 27 zero_gravi
  constant data_width_c : natural := 32; -- data width - do not change!
44 33 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01040502"; -- no touchy!
45 27 zero_gravi
  constant pmp_max_r_c  : natural := 8; -- max PMP regions - FIXED!
46 32 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
47 27 zero_gravi
 
48
  -- Architecture Configuration -------------------------------------------------------------
49
  -- -------------------------------------------------------------------------------------------
50
  constant ispace_base_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"00000000"; -- default instruction memory address space base address
51
  constant dspace_base_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"80000000"; -- default data memory address space base address
52 30 zero_gravi
  constant bus_timeout_c  : natural := 127; -- cycles after which a valid bus access will timeout and triggers an access exception
53 31 zero_gravi
  constant wb_pipe_mode_c : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode (better timing)
54 25 zero_gravi
  constant ipb_entries_c  : natural := 2; -- entries in instruction prefetch buffer, must be a power of 2, default=2
55 32 zero_gravi
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a physical register that has to be initialized to zero by the CPU HW
56 2 zero_gravi
 
57 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
58 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
59
  function index_size_f(input : natural) return natural;
60
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
61
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
62
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
63 15 zero_gravi
  function or_all_f(a : std_ulogic_vector) return std_ulogic;
64
  function and_all_f(a : std_ulogic_vector) return std_ulogic;
65
  function xor_all_f(a : std_ulogic_vector) return std_ulogic;
66 2 zero_gravi
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic;
67 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
68 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
69 2 zero_gravi
 
70 15 zero_gravi
  -- Internal Types -------------------------------------------------------------------------
71
  -- -------------------------------------------------------------------------------------------
72
  type pmp_ctrl_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
73
  type pmp_addr_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(33 downto 0);
74
 
75 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
76
  -- -------------------------------------------------------------------------------------------
77
  -- Internal Instruction Memory (IMEM) --
78
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
79
  --> size is configured via top's generic
80 2 zero_gravi
 
81 23 zero_gravi
  -- Internal Data Memory (DMEM) --
82
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
83
  --> size is configured via top's generic
84
 
85
  -- Internal Bootloader ROM --
86
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFF0000"; -- bootloader base address, fixed!
87
  constant boot_rom_size_c      : natural := 4*1024; -- bytes
88
  constant boot_rom_max_size_c  : natural := 32*1024; -- bytes, fixed!
89
 
90 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
91
  -- Control register(s) (including the device-enable) should be located at the base address of each device
92
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
93
  constant io_size_c            : natural := 32*4; -- bytes, fixed!
94
 
95
  -- General Purpose Input/Output Unit (GPIO) --
96
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80"; -- base address, fixed!
97 23 zero_gravi
  constant gpio_size_c          : natural := 2*4; -- bytes
98
  constant gpio_in_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
99
  constant gpio_out_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF84";
100 2 zero_gravi
 
101 30 zero_gravi
  -- True Random Number Generator (TRNG) --
102
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88"; -- base address, fixed!
103
  constant trng_size_c          : natural := 1*4; -- bytes
104
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88";
105 2 zero_gravi
 
106
  -- Watch Dog Timer (WDT) --
107
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C"; -- base address, fixed!
108 23 zero_gravi
  constant wdt_size_c           : natural := 1*4; -- bytes
109
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C";
110 2 zero_gravi
 
111
  -- Machine System Timer (MTIME) --
112
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90"; -- base address, fixed!
113 23 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- bytes
114
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90";
115
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF94";
116
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF98";
117
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF9C";
118 2 zero_gravi
 
119
  -- Universal Asynchronous Receiver/Transmitter (UART) --
120
  constant uart_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0"; -- base address, fixed!
121 23 zero_gravi
  constant uart_size_c          : natural := 2*4; -- bytes
122
  constant uart_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0";
123
  constant uart_rtx_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA4";
124 2 zero_gravi
 
125
  -- Serial Peripheral Interface (SPI) --
126
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8"; -- base address, fixed!
127 23 zero_gravi
  constant spi_size_c           : natural := 2*4; -- bytes
128
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8";
129
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFAC";
130 2 zero_gravi
 
131
  -- Two Wire Interface (TWI) --
132
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0"; -- base address, fixed!
133 23 zero_gravi
  constant twi_size_c           : natural := 2*4; -- bytes
134
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0";
135
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB4";
136 2 zero_gravi
 
137
  -- Pulse-Width Modulation Controller (PWM) --
138
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8"; -- base address, fixed!
139 23 zero_gravi
  constant pwm_size_c           : natural := 2*4; -- bytes
140
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8";
141
  constant pwm_duty_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFBC";
142 2 zero_gravi
 
143 12 zero_gravi
  -- RESERVED --
144 30 zero_gravi
--constant ???_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0"; -- base address, fixed!
145
--constant ???_size_c           : natural := 4*4; -- bytes
146 12 zero_gravi
 
147 23 zero_gravi
  -- Custom Functions Unit (CFU) --
148
  constant cfu_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0"; -- base address, fixed!
149
  constant cfu_size_c           : natural := 4*4; -- bytes
150
  constant cfu_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0";
151
  constant cfu_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD4";
152
  constant cfu_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8";
153
  constant cfu_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFDC";
154
 
155
  -- System Information Memory (SYSINFO) --
156 12 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFE0"; -- base address, fixed!
157 23 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- bytes
158 12 zero_gravi
 
159 2 zero_gravi
  -- Main Control Bus -----------------------------------------------------------------------
160
  -- -------------------------------------------------------------------------------------------
161
  -- register file --
162
  constant ctrl_rf_in_mux_lsb_c   : natural :=  0; -- input source select lsb (00=ALU, 01=MEM)
163
  constant ctrl_rf_in_mux_msb_c   : natural :=  1; -- input source select msb (10=PC,  11=CSR)
164
  constant ctrl_rf_rs1_adr0_c     : natural :=  2; -- source register 1 address bit 0
165
  constant ctrl_rf_rs1_adr1_c     : natural :=  3; -- source register 1 address bit 1
166
  constant ctrl_rf_rs1_adr2_c     : natural :=  4; -- source register 1 address bit 2
167
  constant ctrl_rf_rs1_adr3_c     : natural :=  5; -- source register 1 address bit 3
168
  constant ctrl_rf_rs1_adr4_c     : natural :=  6; -- source register 1 address bit 4
169
  constant ctrl_rf_rs2_adr0_c     : natural :=  7; -- source register 2 address bit 0
170
  constant ctrl_rf_rs2_adr1_c     : natural :=  8; -- source register 2 address bit 1
171
  constant ctrl_rf_rs2_adr2_c     : natural :=  9; -- source register 2 address bit 2
172
  constant ctrl_rf_rs2_adr3_c     : natural := 10; -- source register 2 address bit 3
173
  constant ctrl_rf_rs2_adr4_c     : natural := 11; -- source register 2 address bit 4
174
  constant ctrl_rf_rd_adr0_c      : natural := 12; -- destiantion register address bit 0
175
  constant ctrl_rf_rd_adr1_c      : natural := 13; -- destiantion register address bit 1
176
  constant ctrl_rf_rd_adr2_c      : natural := 14; -- destiantion register address bit 2
177
  constant ctrl_rf_rd_adr3_c      : natural := 15; -- destiantion register address bit 3
178
  constant ctrl_rf_rd_adr4_c      : natural := 16; -- destiantion register address bit 4
179
  constant ctrl_rf_wb_en_c        : natural := 17; -- write back enable
180 30 zero_gravi
  constant ctrl_rf_r0_we_c        : natural := 18; -- allow write access to r0 (zero)
181 2 zero_gravi
  -- alu --
182 24 zero_gravi
  constant ctrl_alu_cmd0_c        : natural := 19; -- ALU command bit 0
183
  constant ctrl_alu_cmd1_c        : natural := 20; -- ALU command bit 1
184
  constant ctrl_alu_cmd2_c        : natural := 21; -- ALU command bit 2
185 29 zero_gravi
  constant ctrl_alu_addsub_c      : natural := 22; -- 0=ADD, 1=SUB
186
  constant ctrl_alu_opa_mux_c     : natural := 23; -- operand A select (0=rs1, 1=PC)
187
  constant ctrl_alu_opb_mux_c     : natural := 24; -- operand B select (0=rs2, 1=IMM)
188 27 zero_gravi
  constant ctrl_alu_unsigned_c    : natural := 25; -- is unsigned ALU operation
189
  constant ctrl_alu_shift_dir_c   : natural := 26; -- shift direction (0=left, 1=right)
190
  constant ctrl_alu_shift_ar_c    : natural := 27; -- is arithmetic shift
191 2 zero_gravi
  -- bus interface --
192 27 zero_gravi
  constant ctrl_bus_size_lsb_c    : natural := 28; -- transfer size lsb (00=byte, 01=half-word)
193
  constant ctrl_bus_size_msb_c    : natural := 29; -- transfer size msb (10=word, 11=?)
194
  constant ctrl_bus_rd_c          : natural := 30; -- read data request
195
  constant ctrl_bus_wr_c          : natural := 31; -- write data request
196
  constant ctrl_bus_if_c          : natural := 32; -- instruction fetch request
197
  constant ctrl_bus_mar_we_c      : natural := 33; -- memory address register write enable
198
  constant ctrl_bus_mdo_we_c      : natural := 34; -- memory data out register write enable
199
  constant ctrl_bus_mdi_we_c      : natural := 35; -- memory data in register write enable
200
  constant ctrl_bus_unsigned_c    : natural := 36; -- is unsigned load
201
  constant ctrl_bus_ierr_ack_c    : natural := 37; -- acknowledge instruction fetch bus exceptions
202
  constant ctrl_bus_derr_ack_c    : natural := 38; -- acknowledge data access bus exceptions
203
  constant ctrl_bus_fence_c       : natural := 39; -- executed fence operation
204
  constant ctrl_bus_fencei_c      : natural := 40; -- executed fencei operation
205 26 zero_gravi
  -- co-processors --
206 29 zero_gravi
  constant ctrl_cp_id_lsb_c       : natural := 41; -- cp select ID lsb
207
  constant ctrl_cp_id_msb_c       : natural := 42; -- cp select ID msb
208
  constant ctrl_cp_cmd0_c         : natural := 43; -- cp command bit 0
209
  constant ctrl_cp_cmd1_c         : natural := 44; -- cp command bit 1
210
  constant ctrl_cp_cmd2_c         : natural := 45; -- cp command bit 2
211 2 zero_gravi
  -- control bus size --
212 29 zero_gravi
  constant ctrl_width_c           : natural := 46; -- control bus size
213 2 zero_gravi
 
214
  -- ALU Comparator Bus ---------------------------------------------------------------------
215
  -- -------------------------------------------------------------------------------------------
216
  constant alu_cmp_equal_c : natural := 0;
217 6 zero_gravi
  constant alu_cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
218 2 zero_gravi
 
219
  -- RISC-V Opcode Layout -------------------------------------------------------------------
220
  -- -------------------------------------------------------------------------------------------
221
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
222
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
223
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
224
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
225
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
226
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
227
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
228
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
229
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
230
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
231
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
232
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
233
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
234
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
235
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
236
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
237
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
238
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
239
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
240
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
241
 
242
  -- RISC-V Opcodes -------------------------------------------------------------------------
243
  -- -------------------------------------------------------------------------------------------
244
  -- alu --
245
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
246
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
247
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
248
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
249
  -- control flow --
250
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
251 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
252 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
253
  -- memory access --
254
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
255
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
256
  -- system/csr --
257 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
258 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
259
 
260
  -- RISC-V Funct3 --------------------------------------------------------------------------
261
  -- -------------------------------------------------------------------------------------------
262
  -- control flow --
263
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
264
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
265
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
266
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
267
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
268
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
269
  -- memory access --
270
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
271
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
272
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
273
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
274
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
275
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
276
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
277
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
278
  -- alu --
279
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
280
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
281
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
282
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
283
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
284
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
285
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
286
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
287
  -- system/csr --
288
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi
289
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
290
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
291
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
292
  --
293
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
294
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
295
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
296 8 zero_gravi
  -- fence --
297
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
298
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
299 2 zero_gravi
 
300 11 zero_gravi
  -- RISC-V Funct12 --------------------------------------------------------------------------
301
  -- -------------------------------------------------------------------------------------------
302
  -- system --
303
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
304
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
305
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
306
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
307
 
308 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
309
  -- -------------------------------------------------------------------------------------------
310
  constant csr_mstatus_c    : std_ulogic_vector(11 downto 0) := x"300"; -- mstatus
311
  constant csr_misa_c       : std_ulogic_vector(11 downto 0) := x"301"; -- misa
312
  constant csr_mie_c        : std_ulogic_vector(11 downto 0) := x"304"; -- mie
313
  constant csr_mtvec_c      : std_ulogic_vector(11 downto 0) := x"305"; -- mtvec
314
  --
315
  constant csr_mscratch_c   : std_ulogic_vector(11 downto 0) := x"340"; -- mscratch
316
  constant csr_mepc_c       : std_ulogic_vector(11 downto 0) := x"341"; -- mepc
317
  constant csr_mcause_c     : std_ulogic_vector(11 downto 0) := x"342"; -- mcause
318
  constant csr_mtval_c      : std_ulogic_vector(11 downto 0) := x"343"; -- mtval
319
  constant csr_mip_c        : std_ulogic_vector(11 downto 0) := x"344"; -- mip
320
  --
321
  constant csr_pmpcfg0_c    : std_ulogic_vector(11 downto 0) := x"3a0"; -- pmpcfg0
322
  constant csr_pmpcfg1_c    : std_ulogic_vector(11 downto 0) := x"3a1"; -- pmpcfg1
323
  --
324
  constant csr_pmpaddr0_c   : std_ulogic_vector(11 downto 0) := x"3b0"; -- pmpaddr0
325
  constant csr_pmpaddr1_c   : std_ulogic_vector(11 downto 0) := x"3b1"; -- pmpaddr1
326
  constant csr_pmpaddr2_c   : std_ulogic_vector(11 downto 0) := x"3b2"; -- pmpaddr2
327
  constant csr_pmpaddr3_c   : std_ulogic_vector(11 downto 0) := x"3b3"; -- pmpaddr3
328
  constant csr_pmpaddr4_c   : std_ulogic_vector(11 downto 0) := x"3b4"; -- pmpaddr4
329
  constant csr_pmpaddr5_c   : std_ulogic_vector(11 downto 0) := x"3b5"; -- pmpaddr5
330
  constant csr_pmpaddr6_c   : std_ulogic_vector(11 downto 0) := x"3b6"; -- pmpaddr6
331
  constant csr_pmpaddr7_c   : std_ulogic_vector(11 downto 0) := x"3b7"; -- pmpaddr7
332
  --
333
  constant csr_mcycle_c     : std_ulogic_vector(11 downto 0) := x"b00"; -- mcycle
334
  constant csr_minstret_c   : std_ulogic_vector(11 downto 0) := x"b02"; -- minstret
335
  --
336
  constant csr_mcycleh_c    : std_ulogic_vector(11 downto 0) := x"b80"; -- mcycleh
337
  constant csr_minstreth_c  : std_ulogic_vector(11 downto 0) := x"b82"; -- minstreth
338
  --
339
  constant csr_cycle_c      : std_ulogic_vector(11 downto 0) := x"c00"; -- cycle
340
  constant csr_time_c       : std_ulogic_vector(11 downto 0) := x"c01"; -- time
341
  constant csr_instret_c    : std_ulogic_vector(11 downto 0) := x"c02"; -- instret
342
  --
343
  constant csr_cycleh_c     : std_ulogic_vector(11 downto 0) := x"c80"; -- cycleh
344
  constant csr_timeh_c      : std_ulogic_vector(11 downto 0) := x"c81"; -- timeh
345
  constant csr_instreth_c   : std_ulogic_vector(11 downto 0) := x"c82"; -- instreth
346
  --
347
  constant csr_mvendorid_c  : std_ulogic_vector(11 downto 0) := x"f11"; -- mvendorid
348
  constant csr_marchid_c    : std_ulogic_vector(11 downto 0) := x"f12"; -- marchid
349
  constant csr_mimpid_c     : std_ulogic_vector(11 downto 0) := x"f13"; -- mimpid
350
  constant csr_mhartid_c    : std_ulogic_vector(11 downto 0) := x"f14"; -- mhartid
351
  --
352
  constant csr_mzext_c      : std_ulogic_vector(11 downto 0) := x"fc0"; -- mzext
353
 
354 2 zero_gravi
  -- Co-Processor Operations ----------------------------------------------------------------
355
  -- -------------------------------------------------------------------------------------------
356
  -- cp ids --
357
  constant cp_sel_muldiv_c : std_ulogic_vector(1 downto 0) := "00"; -- MULDIV CP
358
  -- muldiv cp --
359 6 zero_gravi
  constant cp_op_mul_c     : std_ulogic_vector(2 downto 0) := "000"; -- mul
360
  constant cp_op_mulh_c    : std_ulogic_vector(2 downto 0) := "001"; -- mulh
361
  constant cp_op_mulhsu_c  : std_ulogic_vector(2 downto 0) := "010"; -- mulhsu
362
  constant cp_op_mulhu_c   : std_ulogic_vector(2 downto 0) := "011"; -- mulhu
363
  constant cp_op_div_c     : std_ulogic_vector(2 downto 0) := "100"; -- div
364
  constant cp_op_divu_c    : std_ulogic_vector(2 downto 0) := "101"; -- divu
365
  constant cp_op_rem_c     : std_ulogic_vector(2 downto 0) := "110"; -- rem
366
  constant cp_op_remu_c    : std_ulogic_vector(2 downto 0) := "111"; -- remu
367 2 zero_gravi
 
368
  -- ALU Function Codes ---------------------------------------------------------------------
369
  -- -------------------------------------------------------------------------------------------
370 29 zero_gravi
  constant alu_cmd_addsub_c : std_ulogic_vector(2 downto 0) := "000"; -- r <= A +/- B
371
  constant alu_cmd_slt_c    : std_ulogic_vector(2 downto 0) := "001"; -- r <= A < B
372
  constant alu_cmd_cp_c     : std_ulogic_vector(2 downto 0) := "010"; -- r <= CP result (iterative)
373
  constant alu_cmd_shift_c  : std_ulogic_vector(2 downto 0) := "011"; -- r <= A <</>> B (iterative)
374
  constant alu_cmd_movb_c   : std_ulogic_vector(2 downto 0) := "100"; -- r <= B
375
  constant alu_cmd_xor_c    : std_ulogic_vector(2 downto 0) := "101"; -- r <= A xor B
376
  constant alu_cmd_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- r <= A or B
377
  constant alu_cmd_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- r <= A and B
378 2 zero_gravi
 
379 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
380
  -- -------------------------------------------------------------------------------------------
381 14 zero_gravi
  -- risc-v compliant --
382
  constant trap_ima_c   : std_ulogic_vector(5 downto 0) := "000000"; -- 0.0:  instruction misaligned
383
  constant trap_iba_c   : std_ulogic_vector(5 downto 0) := "000001"; -- 0.1:  instruction access fault
384
  constant trap_iil_c   : std_ulogic_vector(5 downto 0) := "000010"; -- 0.2:  illegal instruction
385
  constant trap_brk_c   : std_ulogic_vector(5 downto 0) := "000011"; -- 0.3:  breakpoint
386
  constant trap_lma_c   : std_ulogic_vector(5 downto 0) := "000100"; -- 0.4:  load address misaligned
387
  constant trap_lbe_c   : std_ulogic_vector(5 downto 0) := "000101"; -- 0.5:  load access fault
388
  constant trap_sma_c   : std_ulogic_vector(5 downto 0) := "000110"; -- 0.6:  store address misaligned
389
  constant trap_sbe_c   : std_ulogic_vector(5 downto 0) := "000111"; -- 0.7:  store access fault
390
  constant trap_menv_c  : std_ulogic_vector(5 downto 0) := "001011"; -- 0.11: environment call from m-mode
391
  --
392
  constant trap_msi_c   : std_ulogic_vector(5 downto 0) := "100011"; -- 1.3:  machine software interrupt
393
  constant trap_mti_c   : std_ulogic_vector(5 downto 0) := "100111"; -- 1.7:  machine timer interrupt
394
  constant trap_mei_c   : std_ulogic_vector(5 downto 0) := "101011"; -- 1.11: machine external interrupt
395
  -- custom --
396
  constant trap_firq0_c : std_ulogic_vector(5 downto 0) := "110000"; -- 1.16: fast interrupt 0
397
  constant trap_firq1_c : std_ulogic_vector(5 downto 0) := "110001"; -- 1.17: fast interrupt 1
398
  constant trap_firq2_c : std_ulogic_vector(5 downto 0) := "110010"; -- 1.18: fast interrupt 2
399
  constant trap_firq3_c : std_ulogic_vector(5 downto 0) := "110011"; -- 1.19: fast interrupt 3
400 12 zero_gravi
 
401 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
402
  -- -------------------------------------------------------------------------------------------
403
  -- exception source bits --
404
  constant exception_iaccess_c   : natural := 0; -- instrution access fault
405
  constant exception_iillegal_c  : natural := 1; -- illegal instrution
406
  constant exception_ialign_c    : natural := 2; -- instrution address misaligned
407
  constant exception_m_envcall_c : natural := 3; -- ENV call from m-mode
408
  constant exception_break_c     : natural := 4; -- breakpoint
409
  constant exception_salign_c    : natural := 5; -- store address misaligned
410
  constant exception_lalign_c    : natural := 6; -- load address misaligned
411
  constant exception_saccess_c   : natural := 7; -- store access fault
412
  constant exception_laccess_c   : natural := 8; -- load access fault
413 14 zero_gravi
  --
414 2 zero_gravi
  constant exception_width_c     : natural := 9; -- length of this list in bits
415
  -- interrupt source bits --
416 12 zero_gravi
  constant interrupt_msw_irq_c   : natural := 0; -- machine software interrupt
417
  constant interrupt_mtime_irq_c : natural := 1; -- machine timer interrupt
418 2 zero_gravi
  constant interrupt_mext_irq_c  : natural := 2; -- machine external interrupt
419 14 zero_gravi
  constant interrupt_firq_0_c    : natural := 3; -- fast interrupt channel 0
420
  constant interrupt_firq_1_c    : natural := 4; -- fast interrupt channel 1
421
  constant interrupt_firq_2_c    : natural := 5; -- fast interrupt channel 2
422
  constant interrupt_firq_3_c    : natural := 6; -- fast interrupt channel 3
423
  --
424
  constant interrupt_width_c     : natural := 7; -- length of this list in bits
425 2 zero_gravi
 
426 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
427
  -- -------------------------------------------------------------------------------------------
428 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
429
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
430 15 zero_gravi
 
431 2 zero_gravi
  -- Clock Generator -------------------------------------------------------------------------
432
  -- -------------------------------------------------------------------------------------------
433
  constant clk_div2_c    : natural := 0;
434
  constant clk_div4_c    : natural := 1;
435
  constant clk_div8_c    : natural := 2;
436
  constant clk_div64_c   : natural := 3;
437
  constant clk_div128_c  : natural := 4;
438
  constant clk_div1024_c : natural := 5;
439
  constant clk_div2048_c : natural := 6;
440
  constant clk_div4096_c : natural := 7;
441
 
442
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
443
  -- -------------------------------------------------------------------------------------------
444
  component neorv32_top
445
    generic (
446
      -- General --
447 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
448 8 zero_gravi
      BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
449 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
450 2 zero_gravi
      -- RISC-V CPU Extensions --
451 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
452 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
453 18 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
454
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
455 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
456
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
457 19 zero_gravi
      -- Extension Options --
458
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
459 15 zero_gravi
      -- Physical Memory Protection (PMP) --
460
      PMP_USE                      : boolean := false; -- implement PMP?
461 16 zero_gravi
      PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
462
      PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
463 23 zero_gravi
      -- Internal Instruction memory --
464 8 zero_gravi
      MEM_INT_IMEM_USE             : boolean := true;    -- implement processor-internal instruction memory
465
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
466
      MEM_INT_IMEM_ROM             : boolean := false;   -- implement processor-internal instruction memory as ROM
467 23 zero_gravi
      -- Internal Data memory --
468 8 zero_gravi
      MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
469
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
470 23 zero_gravi
      -- External memory interface --
471 8 zero_gravi
      MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
472
      MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
473 2 zero_gravi
      -- Processor peripherals --
474 8 zero_gravi
      IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
475
      IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
476
      IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
477
      IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
478
      IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
479
      IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
480
      IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
481
      IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
482 23 zero_gravi
      IO_CFU_USE                   : boolean := false   -- implement custom functions unit (CFU)?
483 2 zero_gravi
    );
484
    port (
485
      -- Global control --
486
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
487
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
488
      -- Wishbone bus interface --
489
      wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
490
      wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
491
      wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
492
      wb_we_o    : out std_ulogic; -- read/write
493
      wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
494
      wb_stb_o   : out std_ulogic; -- strobe
495
      wb_cyc_o   : out std_ulogic; -- valid cycle
496
      wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
497
      wb_err_i   : in  std_ulogic := '0'; -- transfer error
498 12 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_USE = true) --
499
      fence_o    : out std_ulogic; -- indicates an executed FENCE operation
500
      fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
501 2 zero_gravi
      -- GPIO --
502 22 zero_gravi
      gpio_o     : out std_ulogic_vector(31 downto 0); -- parallel output
503
      gpio_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
504 2 zero_gravi
      -- UART --
505
      uart_txd_o : out std_ulogic; -- UART send data
506
      uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
507
      -- SPI --
508 6 zero_gravi
      spi_sck_o  : out std_ulogic; -- SPI serial clock
509
      spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
510 14 zero_gravi
      spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
511 2 zero_gravi
      spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
512
      -- TWI --
513
      twi_sda_io : inout std_logic := 'H'; -- twi serial data line
514
      twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
515
      -- PWM --
516
      pwm_o      : out std_ulogic_vector(03 downto 0);  -- pwm channels
517
      -- Interrupts --
518 14 zero_gravi
      msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
519
      mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
520 2 zero_gravi
    );
521
  end component;
522
 
523 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
524
  -- -------------------------------------------------------------------------------------------
525
  component neorv32_cpu
526
    generic (
527
      -- General --
528 14 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
529
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
530 4 zero_gravi
      -- RISC-V CPU Extensions --
531 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
532
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
533
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
534 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
535 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
536
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
537 19 zero_gravi
      -- Extension Options --
538
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
539 15 zero_gravi
      -- Physical Memory Protection (PMP) --
540
      PMP_USE                      : boolean := false; -- implement PMP?
541 16 zero_gravi
      PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
542 30 zero_gravi
      PMP_GRANULARITY              : natural := 14     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
543 4 zero_gravi
    );
544
    port (
545
      -- global control --
546 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
547
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
548 12 zero_gravi
      -- instruction bus interface --
549
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
550 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
551 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
552
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
553
      i_bus_we_o     : out std_ulogic; -- write enable
554
      i_bus_re_o     : out std_ulogic; -- read enable
555
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
556 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
557
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
558 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
559
      -- data bus interface --
560
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
561 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
562 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
563
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
564
      d_bus_we_o     : out std_ulogic; -- write enable
565
      d_bus_re_o     : out std_ulogic; -- read enable
566
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
567 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
568
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
569 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
570 11 zero_gravi
      -- system time input from MTIME --
571 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
572
      -- interrupts (risc-v compliant) --
573
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
574
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
575
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
576
      -- fast interrupts (custom) --
577
      firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
578 4 zero_gravi
    );
579
  end component;
580
 
581 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
582
  -- -------------------------------------------------------------------------------------------
583
  component neorv32_cpu_control
584
    generic (
585
      -- General --
586 12 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
587
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
588 2 zero_gravi
      -- RISC-V CPU Extensions --
589 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
590
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
591
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
592 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
593 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
594 15 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
595
      -- Physical memory protection (PMP) --
596
      PMP_USE                      : boolean := false; -- implement physical memory protection?
597
      PMP_NUM_REGIONS              : natural := 4; -- number of regions (1..4)
598
      PMP_GRANULARITY              : natural := 0  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
599 2 zero_gravi
    );
600
    port (
601
      -- global control --
602
      clk_i         : in  std_ulogic; -- global clock, rising edge
603
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
604
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
605
      -- status input --
606
      alu_wait_i    : in  std_ulogic; -- wait for ALU
607 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
608
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
609 2 zero_gravi
      -- data input --
610
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
611
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
612 27 zero_gravi
      alu_res_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU processing result
613 2 zero_gravi
      -- data output --
614
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
615 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
616
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
617
      next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to current instruction)
618 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
619 14 zero_gravi
      -- interrupts (risc-v compliant) --
620
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
621
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
622 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
623 14 zero_gravi
      -- fast interrupts (custom) --
624
      firq_i        : in  std_ulogic_vector(3 downto 0);
625 11 zero_gravi
      -- system time input from MTIME --
626
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
627 15 zero_gravi
      -- physical memory protection --
628
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
629
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
630
      priv_mode_o   : out std_ulogic_vector(1 downto 0); -- current CPU privilege level
631 2 zero_gravi
      -- bus access exceptions --
632
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
633
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
634
      ma_load_i     : in  std_ulogic; -- misaligned load data address
635
      ma_store_i    : in  std_ulogic; -- misaligned store data address
636
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
637
      be_load_i     : in  std_ulogic; -- bus error on load data access
638 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
639 2 zero_gravi
    );
640
  end component;
641
 
642
  -- Component: CPU Register File -----------------------------------------------------------
643
  -- -------------------------------------------------------------------------------------------
644
  component neorv32_cpu_regfile
645
    generic (
646
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
647
    );
648
    port (
649
      -- global control --
650
      clk_i  : in  std_ulogic; -- global clock, rising edge
651
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
652
      -- data input --
653
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
654
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
655
      csr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
656
      pc_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- current pc
657
      -- data output --
658
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
659
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
660
    );
661
  end component;
662
 
663
  -- Component: CPU ALU ---------------------------------------------------------------------
664
  -- -------------------------------------------------------------------------------------------
665
  component neorv32_cpu_alu
666 11 zero_gravi
    generic (
667
      CPU_EXTENSION_RISCV_M : boolean := true -- implement muld/div extension?
668
    );
669 2 zero_gravi
    port (
670
      -- global control --
671
      clk_i       : in  std_ulogic; -- global clock, rising edge
672
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
673
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
674
      -- data input --
675
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
676
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
677
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
678
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
679
      -- data output --
680
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
681
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
682
      -- co-processor interface --
683 19 zero_gravi
      cp0_start_o : out std_ulogic; -- trigger co-processor 0
684 2 zero_gravi
      cp0_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 0 result
685
      cp0_valid_i : in  std_ulogic; -- co-processor 0 result valid
686 19 zero_gravi
      cp1_start_o : out std_ulogic; -- trigger co-processor 1
687 2 zero_gravi
      cp1_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 1 result
688
      cp1_valid_i : in  std_ulogic; -- co-processor 1 result valid
689
      -- status --
690
      wait_o      : out std_ulogic -- busy due to iterative processing units
691
    );
692
  end component;
693
 
694
  -- Component: CPU Co-Processor MULDIV -----------------------------------------------------
695
  -- -------------------------------------------------------------------------------------------
696
  component neorv32_cpu_cp_muldiv
697 19 zero_gravi
    generic (
698
      FAST_MUL_EN : boolean := false -- use DSPs for faster multiplication
699
    );
700 2 zero_gravi
    port (
701
      -- global control --
702
      clk_i   : in  std_ulogic; -- global clock, rising edge
703
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
704
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
705
      -- data input --
706 19 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
707 2 zero_gravi
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
708
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
709
      -- result and status --
710
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
711
      valid_o : out std_ulogic -- data output valid
712
    );
713
  end component;
714
 
715
  -- Component: CPU Bus Interface -----------------------------------------------------------
716
  -- -------------------------------------------------------------------------------------------
717
  component neorv32_cpu_bus
718
    generic (
719 11 zero_gravi
      CPU_EXTENSION_RISCV_C : boolean := true; -- implement compressed extension?
720 15 zero_gravi
      -- Physical memory protection (PMP) --
721
      PMP_USE               : boolean := false; -- implement physical memory protection?
722
      PMP_NUM_REGIONS       : natural := 4; -- number of regions (1..4)
723 18 zero_gravi
      PMP_GRANULARITY       : natural := 0  -- granularity (1=8B, 2=16B, 3=32B, ...)
724 2 zero_gravi
    );
725
    port (
726
      -- global control --
727 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
728
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
729
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
730
      -- cpu instruction fetch interface --
731
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
732
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
733
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
734
      --
735
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
736
      be_instr_o     : out std_ulogic; -- bus error on instruction access
737
      -- cpu data access interface --
738
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
739
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
740
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
741
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
742
      d_wait_o       : out std_ulogic; -- wait for access to complete
743
      --
744
      ma_load_o      : out std_ulogic; -- misaligned load data address
745
      ma_store_o     : out std_ulogic; -- misaligned store data address
746
      be_load_o      : out std_ulogic; -- bus error on load data access
747
      be_store_o     : out std_ulogic; -- bus error on store data access
748 15 zero_gravi
      -- physical memory protection --
749
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
750
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
751
      priv_mode_i    : in  std_ulogic_vector(1 downto 0); -- current CPU privilege level
752 12 zero_gravi
      -- instruction bus --
753
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
754
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
755
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
756
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
757
      i_bus_we_o     : out std_ulogic; -- write enable
758
      i_bus_re_o     : out std_ulogic; -- read enable
759
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
760
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
761
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
762
      i_bus_fence_o  : out std_ulogic; -- fence operation
763
      -- data bus --
764
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
765
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
766
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
767
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
768
      d_bus_we_o     : out std_ulogic; -- write enable
769
      d_bus_re_o     : out std_ulogic; -- read enable
770
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
771
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
772
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
773
      d_bus_fence_o  : out std_ulogic  -- fence operation
774 2 zero_gravi
    );
775
  end component;
776
 
777 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
778
  -- -------------------------------------------------------------------------------------------
779
  component neorv32_busswitch
780
    generic (
781
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
782
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
783
    );
784
    port (
785
      -- global control --
786
      clk_i           : in  std_ulogic; -- global clock, rising edge
787
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
788
      -- controller interface a --
789
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
790
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
791
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
792
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
793
      ca_bus_we_i     : in  std_ulogic; -- write enable
794
      ca_bus_re_i     : in  std_ulogic; -- read enable
795
      ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
796
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
797
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
798
      -- controller interface b --
799
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
800
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
801
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
802
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
803
      cb_bus_we_i     : in  std_ulogic; -- write enable
804
      cb_bus_re_i     : in  std_ulogic; -- read enable
805
      cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
806
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
807
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
808
      -- peripheral bus --
809
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
810
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
811
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
812
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
813
      p_bus_we_o      : out std_ulogic; -- write enable
814
      p_bus_re_o      : out std_ulogic; -- read enable
815
      p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
816
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
817
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
818
    );
819
  end component;
820
 
821 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
822
  -- -------------------------------------------------------------------------------------------
823
  component neorv32_cpu_decompressor
824
    port (
825
      -- instruction input --
826
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
827
      -- instruction output --
828
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
829
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
830
    );
831
  end component;
832
 
833
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
834
  -- -------------------------------------------------------------------------------------------
835
  component neorv32_imem
836
    generic (
837
      IMEM_BASE      : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
838
      IMEM_SIZE      : natural := 4*1024; -- processor-internal instruction memory size in bytes
839
      IMEM_AS_ROM    : boolean := false;  -- implement IMEM as read-only memory?
840
      BOOTLOADER_USE : boolean := true    -- implement and use bootloader?
841
    );
842
    port (
843
      clk_i  : in  std_ulogic; -- global clock line
844
      rden_i : in  std_ulogic; -- read enable
845
      wren_i : in  std_ulogic; -- write enable
846
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
847
      upen_i : in  std_ulogic; -- update enable
848
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
849
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
850
      data_o : out std_ulogic_vector(31 downto 0); -- data out
851
      ack_o  : out std_ulogic -- transfer acknowledge
852
    );
853
  end component;
854
 
855
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
856
  -- -------------------------------------------------------------------------------------------
857
  component neorv32_dmem
858
    generic (
859
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
860
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
861
    );
862
    port (
863
      clk_i  : in  std_ulogic; -- global clock line
864
      rden_i : in  std_ulogic; -- read enable
865
      wren_i : in  std_ulogic; -- write enable
866
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
867
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
868
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
869
      data_o : out std_ulogic_vector(31 downto 0); -- data out
870
      ack_o  : out std_ulogic -- transfer acknowledge
871
    );
872
  end component;
873
 
874
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
875
  -- -------------------------------------------------------------------------------------------
876
  component neorv32_boot_rom
877 23 zero_gravi
    generic (
878
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000"; -- boot ROM base address
879
      BOOTROM_SIZE : natural := 4*1024  -- processor-internal boot ROM memory size in bytes
880
    );
881 2 zero_gravi
    port (
882
      clk_i  : in  std_ulogic; -- global clock line
883
      rden_i : in  std_ulogic; -- read enable
884
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
885
      data_o : out std_ulogic_vector(31 downto 0); -- data out
886
      ack_o  : out std_ulogic -- transfer acknowledge
887
    );
888
  end component;
889
 
890
  -- Component: Machine System Timer (mtime) ------------------------------------------------
891
  -- -------------------------------------------------------------------------------------------
892
  component neorv32_mtime
893
    port (
894
      -- host access --
895
      clk_i     : in  std_ulogic; -- global clock line
896 4 zero_gravi
      rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
897 2 zero_gravi
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
898
      rden_i    : in  std_ulogic; -- read enable
899
      wren_i    : in  std_ulogic; -- write enable
900
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
901
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
902
      ack_o     : out std_ulogic; -- transfer acknowledge
903 11 zero_gravi
      -- time output for CPU --
904
      time_o    : out std_ulogic_vector(63 downto 0); -- current system time
905 2 zero_gravi
      -- interrupt --
906
      irq_o     : out std_ulogic  -- interrupt request
907
    );
908
  end component;
909
 
910
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
911
  -- -------------------------------------------------------------------------------------------
912
  component neorv32_gpio
913
    port (
914
      -- host access --
915
      clk_i  : in  std_ulogic; -- global clock line
916
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
917
      rden_i : in  std_ulogic; -- read enable
918
      wren_i : in  std_ulogic; -- write enable
919
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
920
      data_o : out std_ulogic_vector(31 downto 0); -- data out
921
      ack_o  : out std_ulogic; -- transfer acknowledge
922
      -- parallel io --
923 22 zero_gravi
      gpio_o : out std_ulogic_vector(31 downto 0);
924
      gpio_i : in  std_ulogic_vector(31 downto 0);
925 2 zero_gravi
      -- interrupt --
926
      irq_o  : out std_ulogic
927
    );
928
  end component;
929
 
930
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
931
  -- -------------------------------------------------------------------------------------------
932
  component neorv32_wdt
933
    port (
934
      -- host access --
935
      clk_i       : in  std_ulogic; -- global clock line
936
      rstn_i      : in  std_ulogic; -- global reset line, low-active
937
      rden_i      : in  std_ulogic; -- read enable
938
      wren_i      : in  std_ulogic; -- write enable
939
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
940
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
941
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
942
      ack_o       : out std_ulogic; -- transfer acknowledge
943
      -- clock generator --
944
      clkgen_en_o : out std_ulogic; -- enable clock generator
945
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
946
      -- timeout event --
947
      irq_o       : out std_ulogic; -- timeout IRQ
948
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
949
    );
950
  end component;
951
 
952
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
953
  -- -------------------------------------------------------------------------------------------
954
  component neorv32_uart
955
    port (
956
      -- host access --
957
      clk_i       : in  std_ulogic; -- global clock line
958
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
959
      rden_i      : in  std_ulogic; -- read enable
960
      wren_i      : in  std_ulogic; -- write enable
961
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
962
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
963
      ack_o       : out std_ulogic; -- transfer acknowledge
964
      -- clock generator --
965
      clkgen_en_o : out std_ulogic; -- enable clock generator
966
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
967
      -- com lines --
968
      uart_txd_o  : out std_ulogic;
969
      uart_rxd_i  : in  std_ulogic;
970
      -- interrupts --
971
      uart_irq_o  : out std_ulogic  -- uart rx/tx interrupt
972
    );
973
  end component;
974
 
975
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
976
  -- -------------------------------------------------------------------------------------------
977
  component neorv32_spi
978
    port (
979
      -- host access --
980
      clk_i       : in  std_ulogic; -- global clock line
981
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
982
      rden_i      : in  std_ulogic; -- read enable
983
      wren_i      : in  std_ulogic; -- write enable
984
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
985
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
986
      ack_o       : out std_ulogic; -- transfer acknowledge
987
      -- clock generator --
988
      clkgen_en_o : out std_ulogic; -- enable clock generator
989
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
990
      -- com lines --
991 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
992
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
993
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
994 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
995
      -- interrupt --
996
      spi_irq_o   : out std_ulogic -- transmission done interrupt
997
    );
998
  end component;
999
 
1000
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1001
  -- -------------------------------------------------------------------------------------------
1002
  component neorv32_twi
1003
    port (
1004
      -- host access --
1005
      clk_i       : in  std_ulogic; -- global clock line
1006
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1007
      rden_i      : in  std_ulogic; -- read enable
1008
      wren_i      : in  std_ulogic; -- write enable
1009
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1010
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1011
      ack_o       : out std_ulogic; -- transfer acknowledge
1012
      -- clock generator --
1013
      clkgen_en_o : out std_ulogic; -- enable clock generator
1014
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1015
      -- com lines --
1016
      twi_sda_io  : inout std_logic; -- serial data line
1017
      twi_scl_io  : inout std_logic; -- serial clock line
1018
      -- interrupt --
1019
      twi_irq_o   : out std_ulogic -- transfer done IRQ
1020
    );
1021
  end component;
1022
 
1023
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1024
  -- -------------------------------------------------------------------------------------------
1025
  component neorv32_pwm
1026
    port (
1027
      -- host access --
1028
      clk_i       : in  std_ulogic; -- global clock line
1029
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1030
      rden_i      : in  std_ulogic; -- read enable
1031
      wren_i      : in  std_ulogic; -- write enable
1032
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1033
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1034
      ack_o       : out std_ulogic; -- transfer acknowledge
1035
      -- clock generator --
1036
      clkgen_en_o : out std_ulogic; -- enable clock generator
1037
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1038
      -- pwm output channels --
1039
      pwm_o       : out std_ulogic_vector(03 downto 0)
1040
    );
1041
  end component;
1042
 
1043
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1044
  -- -------------------------------------------------------------------------------------------
1045
  component neorv32_trng
1046
    port (
1047
      -- host access --
1048
      clk_i  : in  std_ulogic; -- global clock line
1049
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1050
      rden_i : in  std_ulogic; -- read enable
1051
      wren_i : in  std_ulogic; -- write enable
1052
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1053
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1054
      ack_o  : out std_ulogic  -- transfer acknowledge
1055
    );
1056
  end component;
1057
 
1058
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1059
  -- -------------------------------------------------------------------------------------------
1060
  component neorv32_wishbone
1061
    generic (
1062
      INTERFACE_REG_STAGES : natural := 2; -- number of interface register stages (0,1,2)
1063 31 zero_gravi
      WB_PIPELINED_MODE    : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode
1064 23 zero_gravi
      -- Internal instruction memory --
1065 2 zero_gravi
      MEM_INT_IMEM_USE     : boolean := true;   -- implement processor-internal instruction memory
1066
      MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1067 23 zero_gravi
      -- Internal data memory --
1068 2 zero_gravi
      MEM_INT_DMEM_USE     : boolean := true;   -- implement processor-internal data memory
1069
      MEM_INT_DMEM_SIZE    : natural := 4*1024  -- size of processor-internal data memory in bytes
1070
    );
1071
    port (
1072
      -- global control --
1073
      clk_i    : in  std_ulogic; -- global clock line
1074
      rstn_i   : in  std_ulogic; -- global reset line, low-active
1075
      -- host access --
1076
      addr_i   : in  std_ulogic_vector(31 downto 0); -- address
1077
      rden_i   : in  std_ulogic; -- read enable
1078
      wren_i   : in  std_ulogic; -- write enable
1079
      ben_i    : in  std_ulogic_vector(03 downto 0); -- byte write enable
1080
      data_i   : in  std_ulogic_vector(31 downto 0); -- data in
1081
      data_o   : out std_ulogic_vector(31 downto 0); -- data out
1082 11 zero_gravi
      cancel_i : in  std_ulogic; -- cancel current bus transaction
1083 2 zero_gravi
      ack_o    : out std_ulogic; -- transfer acknowledge
1084
      err_o    : out std_ulogic; -- transfer error
1085
      -- wishbone interface --
1086
      wb_adr_o : out std_ulogic_vector(31 downto 0); -- address
1087
      wb_dat_i : in  std_ulogic_vector(31 downto 0); -- read data
1088
      wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data
1089
      wb_we_o  : out std_ulogic; -- read/write
1090
      wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable
1091
      wb_stb_o : out std_ulogic; -- strobe
1092
      wb_cyc_o : out std_ulogic; -- valid cycle
1093
      wb_ack_i : in  std_ulogic; -- transfer acknowledge
1094
      wb_err_i : in  std_ulogic  -- transfer error
1095
    );
1096
  end component;
1097
 
1098 23 zero_gravi
  -- Component: Custom Functions Unit (CFU) -------------------------------------------------
1099
  -- -------------------------------------------------------------------------------------------
1100
  component neorv32_cfu
1101
    port (
1102
      -- host access --
1103
      clk_i       : in  std_ulogic; -- global clock line
1104
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1105
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1106
      rden_i      : in  std_ulogic; -- read enable
1107
      wren_i      : in  std_ulogic; -- write enable
1108
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1109
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1110
      ack_o       : out std_ulogic; -- transfer acknowledge
1111
      -- clock generator --
1112
      clkgen_en_o : out std_ulogic; -- enable clock generator
1113
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1114
      -- interrupt --
1115
      irq_o       : out std_ulogic
1116
      -- custom io --
1117
      -- ...
1118
    );
1119
  end component;
1120
 
1121
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1122
  -- -------------------------------------------------------------------------------------------
1123 12 zero_gravi
  component neorv32_sysinfo
1124
    generic (
1125
      -- General --
1126
      CLOCK_FREQUENCY   : natural := 0;      -- clock frequency of clk_i in Hz
1127
      BOOTLOADER_USE    : boolean := true;   -- implement processor-internal bootloader?
1128
      USER_CODE         : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1129 23 zero_gravi
      -- Internal Instruction memory --
1130 12 zero_gravi
      MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
1131
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1132
      MEM_INT_IMEM_ROM  : boolean := false;  -- implement processor-internal instruction memory as ROM
1133 23 zero_gravi
      -- Internal Data memory --
1134 12 zero_gravi
      MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
1135
      MEM_INT_DMEM_SIZE : natural := 4*1024; -- size of processor-internal data memory in bytes
1136 23 zero_gravi
      -- External memory interface --
1137 12 zero_gravi
      MEM_EXT_USE       : boolean := false;  -- implement external memory bus interface?
1138
      -- Processor peripherals --
1139
      IO_GPIO_USE       : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1140
      IO_MTIME_USE      : boolean := true;   -- implement machine system timer (MTIME)?
1141
      IO_UART_USE       : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
1142
      IO_SPI_USE        : boolean := true;   -- implement serial peripheral interface (SPI)?
1143
      IO_TWI_USE        : boolean := true;   -- implement two-wire interface (TWI)?
1144
      IO_PWM_USE        : boolean := true;   -- implement pulse-width modulation unit (PWM)?
1145
      IO_WDT_USE        : boolean := true;   -- implement watch dog timer (WDT)?
1146
      IO_TRNG_USE       : boolean := true;   -- implement true random number generator (TRNG)?
1147 23 zero_gravi
      IO_CFU_USE        : boolean := true    -- implement custom functions unit (CFU)?
1148 12 zero_gravi
    );
1149
    port (
1150
      -- host access --
1151
      clk_i  : in  std_ulogic; -- global clock line
1152
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1153
      rden_i : in  std_ulogic; -- read enable
1154
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1155
      ack_o  : out std_ulogic  -- transfer acknowledge
1156
    );
1157
  end component;
1158
 
1159 2 zero_gravi
end neorv32_package;
1160
 
1161
package body neorv32_package is
1162
 
1163
  -- Function: Minimal required bit width ---------------------------------------------------
1164
  -- -------------------------------------------------------------------------------------------
1165
  function index_size_f(input : natural) return natural is
1166
  begin
1167
    for i in 0 to natural'high loop
1168
      if (2**i >= input) then
1169
        return i;
1170
      end if;
1171
    end loop; -- i
1172
    return 0;
1173
  end function index_size_f;
1174
 
1175
  -- Function: Conditional select natural ---------------------------------------------------
1176
  -- -------------------------------------------------------------------------------------------
1177
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
1178
  begin
1179
    if (cond = true) then
1180
      return val_t;
1181
    else
1182
      return val_f;
1183
    end if;
1184
  end function cond_sel_natural_f;
1185
 
1186
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
1187
  -- -------------------------------------------------------------------------------------------
1188
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
1189
  begin
1190
    if (cond = true) then
1191
      return val_t;
1192
    else
1193
      return val_f;
1194
    end if;
1195
  end function cond_sel_stdulogicvector_f;
1196
 
1197
  -- Function: Convert BOOL to STD_ULOGIC ---------------------------------------------------
1198
  -- -------------------------------------------------------------------------------------------
1199
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
1200
  begin
1201
    if (cond = true) then
1202
      return '1';
1203
    else
1204
      return '0';
1205
    end if;
1206
  end function bool_to_ulogic_f;
1207
 
1208
  -- Function: OR all bits ------------------------------------------------------------------
1209
  -- -------------------------------------------------------------------------------------------
1210
  function or_all_f(a : std_ulogic_vector) return std_ulogic is
1211
    variable tmp_v : std_ulogic;
1212
  begin
1213
    tmp_v := a(a'low);
1214 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1215
      for i in a'low+1 to a'high loop
1216
        tmp_v := tmp_v or a(i);
1217
      end loop; -- i
1218
    end if;
1219 2 zero_gravi
    return tmp_v;
1220
  end function or_all_f;
1221
 
1222
  -- Function: AND all bits -----------------------------------------------------------------
1223
  -- -------------------------------------------------------------------------------------------
1224
  function and_all_f(a : std_ulogic_vector) return std_ulogic is
1225
    variable tmp_v : std_ulogic;
1226
  begin
1227
    tmp_v := a(a'low);
1228 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1229
      for i in a'low+1 to a'high loop
1230
        tmp_v := tmp_v and a(i);
1231
      end loop; -- i
1232
    end if;
1233 2 zero_gravi
    return tmp_v;
1234
  end function and_all_f;
1235
 
1236
  -- Function: XOR all bits -----------------------------------------------------------------
1237
  -- -------------------------------------------------------------------------------------------
1238
  function xor_all_f(a : std_ulogic_vector) return std_ulogic is
1239
    variable tmp_v : std_ulogic;
1240
  begin
1241
    tmp_v := a(a'low);
1242 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1243
      for i in a'low+1 to a'high loop
1244
        tmp_v := tmp_v xor a(i);
1245
      end loop; -- i
1246
    end if;
1247 2 zero_gravi
    return tmp_v;
1248
  end function xor_all_f;
1249
 
1250
  -- Function: XNOR all bits ----------------------------------------------------------------
1251
  -- -------------------------------------------------------------------------------------------
1252
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic is
1253
    variable tmp_v : std_ulogic;
1254
  begin
1255
    tmp_v := a(a'low);
1256 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1257
      for i in a'low+1 to a'high loop
1258
        tmp_v := tmp_v xnor a(i);
1259
      end loop; -- i
1260
    end if;
1261 2 zero_gravi
    return tmp_v;
1262
  end function xnor_all_f;
1263
 
1264 6 zero_gravi
  -- Function: Convert to hex char ----------------------------------------------------------
1265
  -- -------------------------------------------------------------------------------------------
1266
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
1267
    variable output_v : character;
1268
  begin
1269
    case input is
1270 7 zero_gravi
      when x"0"   => output_v := '0';
1271
      when x"1"   => output_v := '1';
1272
      when x"2"   => output_v := '2';
1273
      when x"3"   => output_v := '3';
1274
      when x"4"   => output_v := '4';
1275
      when x"5"   => output_v := '5';
1276
      when x"6"   => output_v := '6';
1277
      when x"7"   => output_v := '7';
1278
      when x"8"   => output_v := '8';
1279
      when x"9"   => output_v := '9';
1280
      when x"a"   => output_v := 'a';
1281
      when x"b"   => output_v := 'b';
1282
      when x"c"   => output_v := 'c';
1283
      when x"d"   => output_v := 'd';
1284
      when x"e"   => output_v := 'e';
1285
      when x"f"   => output_v := 'f';
1286 6 zero_gravi
      when others => output_v := '?';
1287
    end case;
1288
    return output_v;
1289
  end function to_hexchar_f;
1290
 
1291 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
1292
  -- -------------------------------------------------------------------------------------------
1293
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
1294
    variable output_v : std_ulogic_vector(input'range);
1295
  begin
1296
    for i in 0 to input'length-1 loop
1297
      output_v(input'length-i-1) := input(i);
1298
    end loop; -- i
1299
    return output_v;
1300
  end function bit_rev_f;
1301
 
1302 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.