OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43
  constant ispace_base_c  : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
44
  constant dspace_base_c  : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
45 39 zero_gravi
  constant bus_timeout_c  : natural := 127; -- cycles after which an *unacknowledged* bus access will timeout and trigger an access exception
46 36 zero_gravi
  constant wb_pipe_mode_c : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode
47
  constant ipb_entries_c  : natural := 2; -- entries in instruction prefetch buffer, must be a power of 2, default=2
48
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a physical register that has to be initialized to zero by the CPU HW
49
 
50 27 zero_gravi
  -- Architecture Constants -----------------------------------------------------------------
51 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
52 27 zero_gravi
  constant data_width_c : natural := 32; -- data width - do not change!
53 39 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01040801"; -- no touchy!
54 27 zero_gravi
  constant pmp_max_r_c  : natural := 8; -- max PMP regions - FIXED!
55 32 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
56 27 zero_gravi
 
57 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
58 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
59
  function index_size_f(input : natural) return natural;
60
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
61
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
62
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
63 15 zero_gravi
  function or_all_f(a : std_ulogic_vector) return std_ulogic;
64
  function and_all_f(a : std_ulogic_vector) return std_ulogic;
65
  function xor_all_f(a : std_ulogic_vector) return std_ulogic;
66 2 zero_gravi
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic;
67 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
68 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
69 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
70 2 zero_gravi
 
71 15 zero_gravi
  -- Internal Types -------------------------------------------------------------------------
72
  -- -------------------------------------------------------------------------------------------
73
  type pmp_ctrl_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
74
  type pmp_addr_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(33 downto 0);
75
 
76 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
77
  -- -------------------------------------------------------------------------------------------
78 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
79 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
80
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
81
  --> memory sizes are configured via top's generics
82 2 zero_gravi
 
83 23 zero_gravi
  -- Internal Bootloader ROM --
84
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFF0000"; -- bootloader base address, fixed!
85
  constant boot_rom_size_c      : natural := 4*1024; -- bytes
86
  constant boot_rom_max_size_c  : natural := 32*1024; -- bytes, fixed!
87
 
88 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
89
  -- Control register(s) (including the device-enable) should be located at the base address of each device
90
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
91
  constant io_size_c            : natural := 32*4; -- bytes, fixed!
92
 
93
  -- General Purpose Input/Output Unit (GPIO) --
94
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80"; -- base address, fixed!
95 23 zero_gravi
  constant gpio_size_c          : natural := 2*4; -- bytes
96
  constant gpio_in_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
97
  constant gpio_out_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF84";
98 2 zero_gravi
 
99 30 zero_gravi
  -- True Random Number Generator (TRNG) --
100
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88"; -- base address, fixed!
101
  constant trng_size_c          : natural := 1*4; -- bytes
102
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88";
103 2 zero_gravi
 
104
  -- Watch Dog Timer (WDT) --
105
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C"; -- base address, fixed!
106 23 zero_gravi
  constant wdt_size_c           : natural := 1*4; -- bytes
107
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C";
108 2 zero_gravi
 
109
  -- Machine System Timer (MTIME) --
110
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90"; -- base address, fixed!
111 23 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- bytes
112
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90";
113
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF94";
114
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF98";
115
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF9C";
116 2 zero_gravi
 
117
  -- Universal Asynchronous Receiver/Transmitter (UART) --
118
  constant uart_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0"; -- base address, fixed!
119 23 zero_gravi
  constant uart_size_c          : natural := 2*4; -- bytes
120
  constant uart_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0";
121
  constant uart_rtx_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA4";
122 2 zero_gravi
 
123
  -- Serial Peripheral Interface (SPI) --
124
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8"; -- base address, fixed!
125 23 zero_gravi
  constant spi_size_c           : natural := 2*4; -- bytes
126
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8";
127
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFAC";
128 2 zero_gravi
 
129
  -- Two Wire Interface (TWI) --
130
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0"; -- base address, fixed!
131 23 zero_gravi
  constant twi_size_c           : natural := 2*4; -- bytes
132
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0";
133
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB4";
134 2 zero_gravi
 
135
  -- Pulse-Width Modulation Controller (PWM) --
136
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8"; -- base address, fixed!
137 23 zero_gravi
  constant pwm_size_c           : natural := 2*4; -- bytes
138
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8";
139
  constant pwm_duty_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFBC";
140 2 zero_gravi
 
141 34 zero_gravi
  -- Custom Functions Unit 0 (CFU0) --
142
  constant cfu0_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0"; -- base address, fixed!
143
  constant cfu0_size_c          : natural := 4*4; -- bytes
144
  constant cfu0_reg0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0";
145
  constant cfu0_reg1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC4";
146
  constant cfu0_reg2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC8";
147
  constant cfu0_reg3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFCC";
148 12 zero_gravi
 
149 34 zero_gravi
  -- Custom Functions Unit 1 (CFU1) --
150
  constant cfu1_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0"; -- base address, fixed!
151
  constant cfu1_size_c          : natural := 4*4; -- bytes
152
  constant cfu1_reg0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0";
153
  constant cfu1_reg1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD4";
154
  constant cfu1_reg2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8";
155
  constant cfu1_reg3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFDC";
156 23 zero_gravi
 
157
  -- System Information Memory (SYSINFO) --
158 12 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFE0"; -- base address, fixed!
159 23 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- bytes
160 12 zero_gravi
 
161 2 zero_gravi
  -- Main Control Bus -----------------------------------------------------------------------
162
  -- -------------------------------------------------------------------------------------------
163
  -- register file --
164 39 zero_gravi
  constant ctrl_rf_in_mux_lsb_c : natural :=  0; -- input source select lsb (10=MEM, 11=CSR)
165
  constant ctrl_rf_in_mux_msb_c : natural :=  1; -- input source select msb (0-=ALU)
166 36 zero_gravi
  constant ctrl_rf_rs1_adr0_c   : natural :=  2; -- source register 1 address bit 0
167
  constant ctrl_rf_rs1_adr1_c   : natural :=  3; -- source register 1 address bit 1
168
  constant ctrl_rf_rs1_adr2_c   : natural :=  4; -- source register 1 address bit 2
169
  constant ctrl_rf_rs1_adr3_c   : natural :=  5; -- source register 1 address bit 3
170
  constant ctrl_rf_rs1_adr4_c   : natural :=  6; -- source register 1 address bit 4
171
  constant ctrl_rf_rs2_adr0_c   : natural :=  7; -- source register 2 address bit 0
172
  constant ctrl_rf_rs2_adr1_c   : natural :=  8; -- source register 2 address bit 1
173
  constant ctrl_rf_rs2_adr2_c   : natural :=  9; -- source register 2 address bit 2
174
  constant ctrl_rf_rs2_adr3_c   : natural := 10; -- source register 2 address bit 3
175
  constant ctrl_rf_rs2_adr4_c   : natural := 11; -- source register 2 address bit 4
176
  constant ctrl_rf_rd_adr0_c    : natural := 12; -- destiantion register address bit 0
177
  constant ctrl_rf_rd_adr1_c    : natural := 13; -- destiantion register address bit 1
178
  constant ctrl_rf_rd_adr2_c    : natural := 14; -- destiantion register address bit 2
179
  constant ctrl_rf_rd_adr3_c    : natural := 15; -- destiantion register address bit 3
180
  constant ctrl_rf_rd_adr4_c    : natural := 16; -- destiantion register address bit 4
181
  constant ctrl_rf_wb_en_c      : natural := 17; -- write back enable
182
  constant ctrl_rf_r0_we_c      : natural := 18; -- force write access and force rd=r0
183 2 zero_gravi
  -- alu --
184 39 zero_gravi
  constant ctrl_alu_arith_c     : natural := 19; -- ALU arithmetic command
185
  constant ctrl_alu_logic0_c    : natural := 20; -- ALU logic command bit 0
186
  constant ctrl_alu_logic1_c    : natural := 21; -- ALU logic command bit 1
187
  constant ctrl_alu_func0_c     : natural := 22; -- ALU function select command bit 0
188
  constant ctrl_alu_func1_c     : natural := 23; -- ALU function select command bit 1
189
  constant ctrl_alu_addsub_c    : natural := 24; -- 0=ADD, 1=SUB
190
  constant ctrl_alu_opa_mux_c   : natural := 25; -- operand A select (0=rs1, 1=PC)
191
  constant ctrl_alu_opb_mux_c   : natural := 26; -- operand B select (0=rs2, 1=IMM)
192
  constant ctrl_alu_unsigned_c  : natural := 27; -- is unsigned ALU operation
193
  constant ctrl_alu_shift_dir_c : natural := 28; -- shift direction (0=left, 1=right)
194
  constant ctrl_alu_shift_ar_c  : natural := 29; -- is arithmetic shift
195 2 zero_gravi
  -- bus interface --
196 39 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 30; -- transfer size lsb (00=byte, 01=half-word)
197
  constant ctrl_bus_size_msb_c  : natural := 31; -- transfer size msb (10=word, 11=?)
198
  constant ctrl_bus_rd_c        : natural := 32; -- read data request
199
  constant ctrl_bus_wr_c        : natural := 33; -- write data request
200
  constant ctrl_bus_if_c        : natural := 34; -- instruction fetch request
201
  constant ctrl_bus_mo_we_c     : natural := 35; -- memory address and data output register write enable
202
  constant ctrl_bus_mi_we_c     : natural := 36; -- memory data input register write enable
203
  constant ctrl_bus_unsigned_c  : natural := 37; -- is unsigned load
204
  constant ctrl_bus_ierr_ack_c  : natural := 38; -- acknowledge instruction fetch bus exceptions
205
  constant ctrl_bus_derr_ack_c  : natural := 39; -- acknowledge data access bus exceptions
206
  constant ctrl_bus_fence_c     : natural := 40; -- executed fence operation
207
  constant ctrl_bus_fencei_c    : natural := 41; -- executed fencei operation
208
  constant ctrl_bus_lock_c      : natural := 42; -- locked/exclusive bus access
209 26 zero_gravi
  -- co-processors --
210 39 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 43; -- cp select ID lsb
211
  constant ctrl_cp_id_msb_c     : natural := 44; -- cp select ID msb
212 36 zero_gravi
  -- current privilege level --
213 39 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 45; -- privilege level lsb
214
  constant ctrl_priv_lvl_msb_c  : natural := 46; -- privilege level msb
215 36 zero_gravi
  -- instruction's control blocks --
216 39 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 47; -- funct3 bit 0
217
  constant ctrl_ir_funct3_1_c   : natural := 48; -- funct3 bit 1
218
  constant ctrl_ir_funct3_2_c   : natural := 49; -- funct3 bit 2
219
  constant ctrl_ir_funct12_0_c  : natural := 50; -- funct12 bit 0
220
  constant ctrl_ir_funct12_1_c  : natural := 51; -- funct12 bit 1
221
  constant ctrl_ir_funct12_2_c  : natural := 52; -- funct12 bit 2
222
  constant ctrl_ir_funct12_3_c  : natural := 53; -- funct12 bit 3
223
  constant ctrl_ir_funct12_4_c  : natural := 54; -- funct12 bit 4
224
  constant ctrl_ir_funct12_5_c  : natural := 55; -- funct12 bit 5
225
  constant ctrl_ir_funct12_6_c  : natural := 56; -- funct12 bit 6
226
  constant ctrl_ir_funct12_7_c  : natural := 57; -- funct12 bit 7
227
  constant ctrl_ir_funct12_8_c  : natural := 58; -- funct12 bit 8
228
  constant ctrl_ir_funct12_9_c  : natural := 59; -- funct12 bit 9
229
  constant ctrl_ir_funct12_10_c : natural := 60; -- funct12 bit 10
230
  constant ctrl_ir_funct12_11_c : natural := 61; -- funct12 bit 11
231 2 zero_gravi
  -- control bus size --
232 39 zero_gravi
  constant ctrl_width_c         : natural := 62; -- control bus size
233 2 zero_gravi
 
234
  -- ALU Comparator Bus ---------------------------------------------------------------------
235
  -- -------------------------------------------------------------------------------------------
236
  constant alu_cmp_equal_c : natural := 0;
237 6 zero_gravi
  constant alu_cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
238 2 zero_gravi
 
239
  -- RISC-V Opcode Layout -------------------------------------------------------------------
240
  -- -------------------------------------------------------------------------------------------
241
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
242
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
243
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
244
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
245
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
246
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
247
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
248
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
249
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
250
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
251
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
252
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
253
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
254
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
255
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
256
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
257
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
258
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
259
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
260
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
261 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
262
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
263 2 zero_gravi
 
264
  -- RISC-V Opcodes -------------------------------------------------------------------------
265
  -- -------------------------------------------------------------------------------------------
266
  -- alu --
267
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
268
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
269
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
270
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
271
  -- control flow --
272
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
273 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
274 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
275
  -- memory access --
276
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
277
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
278
  -- system/csr --
279 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
280 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
281 39 zero_gravi
  -- atomic operations (A) --
282
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
283 2 zero_gravi
 
284
  -- RISC-V Funct3 --------------------------------------------------------------------------
285
  -- -------------------------------------------------------------------------------------------
286
  -- control flow --
287
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
288
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
289
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
290
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
291
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
292
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
293
  -- memory access --
294
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
295
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
296
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
297
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
298
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
299
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
300
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
301
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
302
  -- alu --
303
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
304
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
305
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
306
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
307
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
308
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
309
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
310
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
311
  -- system/csr --
312
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi
313
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
314
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
315
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
316
  --
317
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
318
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
319
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
320 8 zero_gravi
  -- fence --
321
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
322
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
323 2 zero_gravi
 
324 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
325 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
326
  -- system --
327
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
328
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
329
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
330
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
331
 
332 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
333
  -- -------------------------------------------------------------------------------------------
334
  -- atomic operations --
335
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
336
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
337
 
338 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
339
  -- -------------------------------------------------------------------------------------------
340 36 zero_gravi
  constant csr_mstatus_c   : std_ulogic_vector(11 downto 0) := x"300"; -- mstatus
341
  constant csr_misa_c      : std_ulogic_vector(11 downto 0) := x"301"; -- misa
342
  constant csr_mie_c       : std_ulogic_vector(11 downto 0) := x"304"; -- mie
343
  constant csr_mtvec_c     : std_ulogic_vector(11 downto 0) := x"305"; -- mtvec
344 29 zero_gravi
  --
345 36 zero_gravi
  constant csr_mscratch_c  : std_ulogic_vector(11 downto 0) := x"340"; -- mscratch
346
  constant csr_mepc_c      : std_ulogic_vector(11 downto 0) := x"341"; -- mepc
347
  constant csr_mcause_c    : std_ulogic_vector(11 downto 0) := x"342"; -- mcause
348
  constant csr_mtval_c     : std_ulogic_vector(11 downto 0) := x"343"; -- mtval
349
  constant csr_mip_c       : std_ulogic_vector(11 downto 0) := x"344"; -- mip
350 29 zero_gravi
  --
351 36 zero_gravi
  constant csr_pmpcfg0_c   : std_ulogic_vector(11 downto 0) := x"3a0"; -- pmpcfg0
352
  constant csr_pmpcfg1_c   : std_ulogic_vector(11 downto 0) := x"3a1"; -- pmpcfg1
353 29 zero_gravi
  --
354 36 zero_gravi
  constant csr_pmpaddr0_c  : std_ulogic_vector(11 downto 0) := x"3b0"; -- pmpaddr0
355
  constant csr_pmpaddr1_c  : std_ulogic_vector(11 downto 0) := x"3b1"; -- pmpaddr1
356
  constant csr_pmpaddr2_c  : std_ulogic_vector(11 downto 0) := x"3b2"; -- pmpaddr2
357
  constant csr_pmpaddr3_c  : std_ulogic_vector(11 downto 0) := x"3b3"; -- pmpaddr3
358
  constant csr_pmpaddr4_c  : std_ulogic_vector(11 downto 0) := x"3b4"; -- pmpaddr4
359
  constant csr_pmpaddr5_c  : std_ulogic_vector(11 downto 0) := x"3b5"; -- pmpaddr5
360
  constant csr_pmpaddr6_c  : std_ulogic_vector(11 downto 0) := x"3b6"; -- pmpaddr6
361
  constant csr_pmpaddr7_c  : std_ulogic_vector(11 downto 0) := x"3b7"; -- pmpaddr7
362 29 zero_gravi
  --
363 36 zero_gravi
  constant csr_mcycle_c    : std_ulogic_vector(11 downto 0) := x"b00"; -- mcycle
364
  constant csr_minstret_c  : std_ulogic_vector(11 downto 0) := x"b02"; -- minstret
365 29 zero_gravi
  --
366 36 zero_gravi
  constant csr_mcycleh_c   : std_ulogic_vector(11 downto 0) := x"b80"; -- mcycleh
367
  constant csr_minstreth_c : std_ulogic_vector(11 downto 0) := x"b82"; -- minstreth
368 29 zero_gravi
  --
369 36 zero_gravi
  constant csr_cycle_c     : std_ulogic_vector(11 downto 0) := x"c00"; -- cycle
370
  constant csr_time_c      : std_ulogic_vector(11 downto 0) := x"c01"; -- time
371
  constant csr_instret_c   : std_ulogic_vector(11 downto 0) := x"c02"; -- instret
372 29 zero_gravi
  --
373 36 zero_gravi
  constant csr_cycleh_c    : std_ulogic_vector(11 downto 0) := x"c80"; -- cycleh
374
  constant csr_timeh_c     : std_ulogic_vector(11 downto 0) := x"c81"; -- timeh
375
  constant csr_instreth_c  : std_ulogic_vector(11 downto 0) := x"c82"; -- instreth
376 29 zero_gravi
  --
377 36 zero_gravi
  constant csr_mvendorid_c : std_ulogic_vector(11 downto 0) := x"f11"; -- mvendorid
378
  constant csr_marchid_c   : std_ulogic_vector(11 downto 0) := x"f12"; -- marchid
379
  constant csr_mimpid_c    : std_ulogic_vector(11 downto 0) := x"f13"; -- mimpid
380
  constant csr_mhartid_c   : std_ulogic_vector(11 downto 0) := x"f14"; -- mhartid
381 29 zero_gravi
  --
382 37 zero_gravi
  constant csr_mzext_c     : std_ulogic_vector(11 downto 0) := x"fc0"; -- mzext (neorv32-custom)
383 29 zero_gravi
 
384 2 zero_gravi
  -- Co-Processor Operations ----------------------------------------------------------------
385
  -- -------------------------------------------------------------------------------------------
386
  -- cp ids --
387 39 zero_gravi
  constant cp_sel_muldiv_c : std_ulogic_vector(1 downto 0) := "00"; -- MULDIV
388
  constant cp_sel_atomic_c : std_ulogic_vector(1 downto 0) := "01"; -- atomic operations success/failure evaluation
389
--constant cp_sel_reserv_c : std_ulogic_vector(1 downto 0) := "10"; -- reserved
390
--constant cp_sel_reserv_c : std_ulogic_vector(1 downto 0) := "11"; -- reserved
391 2 zero_gravi
  -- muldiv cp --
392 36 zero_gravi
  constant cp_op_mul_c    : std_ulogic_vector(2 downto 0) := "000"; -- mul
393
  constant cp_op_mulh_c   : std_ulogic_vector(2 downto 0) := "001"; -- mulh
394
  constant cp_op_mulhsu_c : std_ulogic_vector(2 downto 0) := "010"; -- mulhsu
395
  constant cp_op_mulhu_c  : std_ulogic_vector(2 downto 0) := "011"; -- mulhu
396
  constant cp_op_div_c    : std_ulogic_vector(2 downto 0) := "100"; -- div
397
  constant cp_op_divu_c   : std_ulogic_vector(2 downto 0) := "101"; -- divu
398
  constant cp_op_rem_c    : std_ulogic_vector(2 downto 0) := "110"; -- rem
399
  constant cp_op_remu_c   : std_ulogic_vector(2 downto 0) := "111"; -- remu
400 2 zero_gravi
 
401
  -- ALU Function Codes ---------------------------------------------------------------------
402
  -- -------------------------------------------------------------------------------------------
403 39 zero_gravi
  -- arithmetic core --
404
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
405
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
406
  -- logic core --
407
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
408
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
409
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
410
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
411
  -- function select (actual alu result) --
412
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
413
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
414
  constant alu_func_cmd_shift_c   : std_ulogic_vector(1 downto 0) := "10"; -- r <= A <</>> B (iterative)
415
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (iterative)
416 2 zero_gravi
 
417 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
418
  -- -------------------------------------------------------------------------------------------
419 39 zero_gravi
  -- RISC-V compliant exceptions --
420
  constant trap_ima_c   : std_ulogic_vector(5 downto 0) := "0" & "00000"; -- 0.0:  instruction misaligned
421
  constant trap_iba_c   : std_ulogic_vector(5 downto 0) := "0" & "00001"; -- 0.1:  instruction access fault
422
  constant trap_iil_c   : std_ulogic_vector(5 downto 0) := "0" & "00010"; -- 0.2:  illegal instruction
423
  constant trap_brk_c   : std_ulogic_vector(5 downto 0) := "0" & "00011"; -- 0.3:  breakpoint
424
  constant trap_lma_c   : std_ulogic_vector(5 downto 0) := "0" & "00100"; -- 0.4:  load address misaligned
425
  constant trap_lbe_c   : std_ulogic_vector(5 downto 0) := "0" & "00101"; -- 0.5:  load access fault
426
  constant trap_sma_c   : std_ulogic_vector(5 downto 0) := "0" & "00110"; -- 0.6:  store address misaligned
427
  constant trap_sbe_c   : std_ulogic_vector(5 downto 0) := "0" & "00111"; -- 0.7:  store access fault
428
  constant trap_menv_c  : std_ulogic_vector(5 downto 0) := "0" & "01011"; -- 0.11: environment call from m-mode
429
  -- RISC-V compliant interrupts --
430
  constant trap_msi_c   : std_ulogic_vector(5 downto 0) := "1" & "00011"; -- 1.3:  machine software interrupt
431
  constant trap_mti_c   : std_ulogic_vector(5 downto 0) := "1" & "00111"; -- 1.7:  machine timer interrupt
432
  constant trap_mei_c   : std_ulogic_vector(5 downto 0) := "1" & "01011"; -- 1.11: machine external interrupt
433
  -- NEORV32-specific (custom) interrupts --
434
  constant trap_firq0_c : std_ulogic_vector(5 downto 0) := "1" & "10000"; -- 1.16: fast interrupt 0
435
  constant trap_firq1_c : std_ulogic_vector(5 downto 0) := "1" & "10001"; -- 1.17: fast interrupt 1
436
  constant trap_firq2_c : std_ulogic_vector(5 downto 0) := "1" & "10010"; -- 1.18: fast interrupt 2
437
  constant trap_firq3_c : std_ulogic_vector(5 downto 0) := "1" & "10011"; -- 1.19: fast interrupt 3
438 12 zero_gravi
 
439 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
440
  -- -------------------------------------------------------------------------------------------
441
  -- exception source bits --
442
  constant exception_iaccess_c   : natural := 0; -- instrution access fault
443
  constant exception_iillegal_c  : natural := 1; -- illegal instrution
444
  constant exception_ialign_c    : natural := 2; -- instrution address misaligned
445
  constant exception_m_envcall_c : natural := 3; -- ENV call from m-mode
446
  constant exception_break_c     : natural := 4; -- breakpoint
447
  constant exception_salign_c    : natural := 5; -- store address misaligned
448
  constant exception_lalign_c    : natural := 6; -- load address misaligned
449
  constant exception_saccess_c   : natural := 7; -- store access fault
450
  constant exception_laccess_c   : natural := 8; -- load access fault
451 14 zero_gravi
  --
452 2 zero_gravi
  constant exception_width_c     : natural := 9; -- length of this list in bits
453
  -- interrupt source bits --
454 12 zero_gravi
  constant interrupt_msw_irq_c   : natural := 0; -- machine software interrupt
455
  constant interrupt_mtime_irq_c : natural := 1; -- machine timer interrupt
456 2 zero_gravi
  constant interrupt_mext_irq_c  : natural := 2; -- machine external interrupt
457 14 zero_gravi
  constant interrupt_firq_0_c    : natural := 3; -- fast interrupt channel 0
458
  constant interrupt_firq_1_c    : natural := 4; -- fast interrupt channel 1
459
  constant interrupt_firq_2_c    : natural := 5; -- fast interrupt channel 2
460
  constant interrupt_firq_3_c    : natural := 6; -- fast interrupt channel 3
461
  --
462
  constant interrupt_width_c     : natural := 7; -- length of this list in bits
463 2 zero_gravi
 
464 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
465
  -- -------------------------------------------------------------------------------------------
466 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
467
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
468 15 zero_gravi
 
469 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
470 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
471
  constant clk_div2_c    : natural := 0;
472
  constant clk_div4_c    : natural := 1;
473
  constant clk_div8_c    : natural := 2;
474
  constant clk_div64_c   : natural := 3;
475
  constant clk_div128_c  : natural := 4;
476
  constant clk_div1024_c : natural := 5;
477
  constant clk_div2048_c : natural := 6;
478
  constant clk_div4096_c : natural := 7;
479
 
480
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
481
  -- -------------------------------------------------------------------------------------------
482
  component neorv32_top
483
    generic (
484
      -- General --
485 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
486 8 zero_gravi
      BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
487 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
488 36 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id (hartid)
489 2 zero_gravi
      -- RISC-V CPU Extensions --
490 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
491 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
492 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
493 18 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
494
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
495 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
496 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
497 19 zero_gravi
      -- Extension Options --
498 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
499
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
500 15 zero_gravi
      -- Physical Memory Protection (PMP) --
501 34 zero_gravi
      PMP_USE                      : boolean := false;  -- implement PMP?
502
      PMP_NUM_REGIONS              : natural := 4;      -- number of regions (max 8)
503
      PMP_GRANULARITY              : natural := 14;     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
504 23 zero_gravi
      -- Internal Instruction memory --
505 34 zero_gravi
      MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
506 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
507 34 zero_gravi
      MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
508 23 zero_gravi
      -- Internal Data memory --
509 8 zero_gravi
      MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
510
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
511 23 zero_gravi
      -- External memory interface --
512 8 zero_gravi
      MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
513 2 zero_gravi
      -- Processor peripherals --
514 8 zero_gravi
      IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
515
      IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
516
      IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
517
      IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
518
      IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
519
      IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
520
      IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
521
      IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
522 34 zero_gravi
      IO_CFU0_USE                  : boolean := false;  -- implement custom functions unit 0 (CFU0)?
523
      IO_CFU1_USE                  : boolean := false   -- implement custom functions unit 1 (CFU1)?
524 2 zero_gravi
    );
525
    port (
526
      -- Global control --
527 34 zero_gravi
      clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
528
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
529 2 zero_gravi
      -- Wishbone bus interface --
530 36 zero_gravi
      wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
531 34 zero_gravi
      wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
532
      wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
533
      wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
534
      wb_we_o     : out std_ulogic; -- read/write
535
      wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
536
      wb_stb_o    : out std_ulogic; -- strobe
537
      wb_cyc_o    : out std_ulogic; -- valid cycle
538 39 zero_gravi
      wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
539 34 zero_gravi
      wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
540
      wb_err_i    : in  std_ulogic := '0'; -- transfer error
541 12 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_USE = true) --
542 34 zero_gravi
      fence_o     : out std_ulogic; -- indicates an executed FENCE operation
543
      fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
544 2 zero_gravi
      -- GPIO --
545 34 zero_gravi
      gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
546
      gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
547 2 zero_gravi
      -- UART --
548 34 zero_gravi
      uart_txd_o  : out std_ulogic; -- UART send data
549
      uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
550 2 zero_gravi
      -- SPI --
551 34 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
552
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
553
      spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
554
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
555 2 zero_gravi
      -- TWI --
556 35 zero_gravi
      twi_sda_io  : inout std_logic; -- twi serial data line
557
      twi_scl_io  : inout std_logic; -- twi serial clock line
558 2 zero_gravi
      -- PWM --
559 34 zero_gravi
      pwm_o       : out std_ulogic_vector(03 downto 0);  -- pwm channels
560 2 zero_gravi
      -- Interrupts --
561 34 zero_gravi
      mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_USE = false
562
      msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
563
      mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
564 2 zero_gravi
    );
565
  end component;
566
 
567 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
568
  -- -------------------------------------------------------------------------------------------
569
  component neorv32_cpu
570
    generic (
571
      -- General --
572 36 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id
573
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0) := (others => '0'); -- cpu boot address
574 4 zero_gravi
      -- RISC-V CPU Extensions --
575 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
576 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
577
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
578
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
579 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
580 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
581
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
582 19 zero_gravi
      -- Extension Options --
583
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
584 34 zero_gravi
      FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
585 15 zero_gravi
      -- Physical Memory Protection (PMP) --
586
      PMP_USE                      : boolean := false; -- implement PMP?
587 16 zero_gravi
      PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
588 30 zero_gravi
      PMP_GRANULARITY              : natural := 14     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
589 4 zero_gravi
    );
590
    port (
591
      -- global control --
592 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
593
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
594 12 zero_gravi
      -- instruction bus interface --
595
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
596 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
597 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
598
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
599
      i_bus_we_o     : out std_ulogic; -- write enable
600
      i_bus_re_o     : out std_ulogic; -- read enable
601
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
602 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
603
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
604 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
605 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
606 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
607 12 zero_gravi
      -- data bus interface --
608
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
609 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
610 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
611
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
612
      d_bus_we_o     : out std_ulogic; -- write enable
613
      d_bus_re_o     : out std_ulogic; -- read enable
614
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
615 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
616
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
617 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
618 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
619 39 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- locked/exclusive access
620 11 zero_gravi
      -- system time input from MTIME --
621 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
622
      -- interrupts (risc-v compliant) --
623
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
624
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
625
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
626
      -- fast interrupts (custom) --
627
      firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
628 4 zero_gravi
    );
629
  end component;
630
 
631 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
632
  -- -------------------------------------------------------------------------------------------
633
  component neorv32_cpu_control
634
    generic (
635
      -- General --
636 12 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
637
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
638 2 zero_gravi
      -- RISC-V CPU Extensions --
639 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
640 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
641
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
642
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
643 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
644 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
645 15 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
646
      -- Physical memory protection (PMP) --
647
      PMP_USE                      : boolean := false; -- implement physical memory protection?
648
      PMP_NUM_REGIONS              : natural := 4; -- number of regions (1..4)
649
      PMP_GRANULARITY              : natural := 0  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
650 2 zero_gravi
    );
651
    port (
652
      -- global control --
653
      clk_i         : in  std_ulogic; -- global clock, rising edge
654
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
655
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
656
      -- status input --
657
      alu_wait_i    : in  std_ulogic; -- wait for ALU
658 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
659
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
660 2 zero_gravi
      -- data input --
661
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
662
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
663 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
664
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
665 2 zero_gravi
      -- data output --
666
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
667 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
668
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
669 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
670 14 zero_gravi
      -- interrupts (risc-v compliant) --
671
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
672
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
673 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
674 14 zero_gravi
      -- fast interrupts (custom) --
675
      firq_i        : in  std_ulogic_vector(3 downto 0);
676 11 zero_gravi
      -- system time input from MTIME --
677
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
678 15 zero_gravi
      -- physical memory protection --
679
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
680
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
681 2 zero_gravi
      -- bus access exceptions --
682
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
683
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
684
      ma_load_i     : in  std_ulogic; -- misaligned load data address
685
      ma_store_i    : in  std_ulogic; -- misaligned store data address
686
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
687
      be_load_i     : in  std_ulogic; -- bus error on load data access
688 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
689 2 zero_gravi
    );
690
  end component;
691
 
692
  -- Component: CPU Register File -----------------------------------------------------------
693
  -- -------------------------------------------------------------------------------------------
694
  component neorv32_cpu_regfile
695
    generic (
696
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
697
    );
698
    port (
699
      -- global control --
700
      clk_i  : in  std_ulogic; -- global clock, rising edge
701
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
702
      -- data input --
703
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
704
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
705
      csr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
706
      -- data output --
707
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
708
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
709
    );
710
  end component;
711
 
712
  -- Component: CPU ALU ---------------------------------------------------------------------
713
  -- -------------------------------------------------------------------------------------------
714
  component neorv32_cpu_alu
715 11 zero_gravi
    generic (
716 34 zero_gravi
      CPU_EXTENSION_RISCV_M : boolean := true; -- implement muld/div extension?
717
      FAST_SHIFT_EN         : boolean := false -- use barrel shifter for shift operations
718 11 zero_gravi
    );
719 2 zero_gravi
    port (
720
      -- global control --
721
      clk_i       : in  std_ulogic; -- global clock, rising edge
722
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
723
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
724
      -- data input --
725
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
726
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
727
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
728
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
729
      -- data output --
730
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
731
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
732 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
733
      opb_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand B
734 2 zero_gravi
      -- co-processor interface --
735 19 zero_gravi
      cp0_start_o : out std_ulogic; -- trigger co-processor 0
736 2 zero_gravi
      cp0_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 0 result
737
      cp0_valid_i : in  std_ulogic; -- co-processor 0 result valid
738 19 zero_gravi
      cp1_start_o : out std_ulogic; -- trigger co-processor 1
739 2 zero_gravi
      cp1_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 1 result
740
      cp1_valid_i : in  std_ulogic; -- co-processor 1 result valid
741 36 zero_gravi
      cp2_start_o : out std_ulogic; -- trigger co-processor 2
742
      cp2_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 2 result
743
      cp2_valid_i : in  std_ulogic; -- co-processor 2 result valid
744
      cp3_start_o : out std_ulogic; -- trigger co-processor 3
745
      cp3_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 3 result
746
      cp3_valid_i : in  std_ulogic; -- co-processor 3 result valid
747 2 zero_gravi
      -- status --
748
      wait_o      : out std_ulogic -- busy due to iterative processing units
749
    );
750
  end component;
751
 
752
  -- Component: CPU Co-Processor MULDIV -----------------------------------------------------
753
  -- -------------------------------------------------------------------------------------------
754
  component neorv32_cpu_cp_muldiv
755 19 zero_gravi
    generic (
756
      FAST_MUL_EN : boolean := false -- use DSPs for faster multiplication
757
    );
758 2 zero_gravi
    port (
759
      -- global control --
760
      clk_i   : in  std_ulogic; -- global clock, rising edge
761
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
762
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
763 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
764 2 zero_gravi
      -- data input --
765
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
766
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
767
      -- result and status --
768
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
769
      valid_o : out std_ulogic -- data output valid
770
    );
771
  end component;
772
 
773
  -- Component: CPU Bus Interface -----------------------------------------------------------
774
  -- -------------------------------------------------------------------------------------------
775
  component neorv32_cpu_bus
776
    generic (
777 11 zero_gravi
      CPU_EXTENSION_RISCV_C : boolean := true; -- implement compressed extension?
778 15 zero_gravi
      -- Physical memory protection (PMP) --
779
      PMP_USE               : boolean := false; -- implement physical memory protection?
780
      PMP_NUM_REGIONS       : natural := 4; -- number of regions (1..4)
781 18 zero_gravi
      PMP_GRANULARITY       : natural := 0  -- granularity (1=8B, 2=16B, 3=32B, ...)
782 2 zero_gravi
    );
783
    port (
784
      -- global control --
785 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
786 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
787 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
788
      -- cpu instruction fetch interface --
789
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
790
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
791
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
792
      --
793
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
794
      be_instr_o     : out std_ulogic; -- bus error on instruction access
795
      -- cpu data access interface --
796
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
797
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
798
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
799
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
800
      d_wait_o       : out std_ulogic; -- wait for access to complete
801
      --
802
      ma_load_o      : out std_ulogic; -- misaligned load data address
803
      ma_store_o     : out std_ulogic; -- misaligned store data address
804
      be_load_o      : out std_ulogic; -- bus error on load data access
805
      be_store_o     : out std_ulogic; -- bus error on store data access
806 15 zero_gravi
      -- physical memory protection --
807
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
808
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
809 12 zero_gravi
      -- instruction bus --
810
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
811
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
812
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
813
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
814
      i_bus_we_o     : out std_ulogic; -- write enable
815
      i_bus_re_o     : out std_ulogic; -- read enable
816
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
817
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
818
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
819
      i_bus_fence_o  : out std_ulogic; -- fence operation
820 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
821 12 zero_gravi
      -- data bus --
822
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
823
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
824
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
825
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
826
      d_bus_we_o     : out std_ulogic; -- write enable
827
      d_bus_re_o     : out std_ulogic; -- read enable
828
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
829
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
830
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
831 39 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- fence operation
832
      d_bus_lock_o   : out std_ulogic  -- locked/exclusive access
833 2 zero_gravi
    );
834
  end component;
835
 
836 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
837
  -- -------------------------------------------------------------------------------------------
838
  component neorv32_busswitch
839
    generic (
840
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
841
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
842
    );
843
    port (
844
      -- global control --
845
      clk_i           : in  std_ulogic; -- global clock, rising edge
846
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
847
      -- controller interface a --
848
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
849
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
850
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
851
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
852
      ca_bus_we_i     : in  std_ulogic; -- write enable
853
      ca_bus_re_i     : in  std_ulogic; -- read enable
854
      ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
855 39 zero_gravi
      ca_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
856 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
857
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
858
      -- controller interface b --
859
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
860
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
861
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
862
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
863
      cb_bus_we_i     : in  std_ulogic; -- write enable
864
      cb_bus_re_i     : in  std_ulogic; -- read enable
865
      cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
866 39 zero_gravi
      cb_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
867 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
868
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
869
      -- peripheral bus --
870 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
871 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
872
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
873
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
874
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
875
      p_bus_we_o      : out std_ulogic; -- write enable
876
      p_bus_re_o      : out std_ulogic; -- read enable
877
      p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
878 39 zero_gravi
      p_bus_lock_o    : out std_ulogic; -- locked/exclusive access
879 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
880
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
881
    );
882
  end component;
883
 
884 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
885
  -- -------------------------------------------------------------------------------------------
886
  component neorv32_cpu_decompressor
887
    port (
888
      -- instruction input --
889
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
890
      -- instruction output --
891
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
892
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
893
    );
894
  end component;
895
 
896
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
897
  -- -------------------------------------------------------------------------------------------
898
  component neorv32_imem
899
    generic (
900
      IMEM_BASE      : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
901
      IMEM_SIZE      : natural := 4*1024; -- processor-internal instruction memory size in bytes
902
      IMEM_AS_ROM    : boolean := false;  -- implement IMEM as read-only memory?
903
      BOOTLOADER_USE : boolean := true    -- implement and use bootloader?
904
    );
905
    port (
906
      clk_i  : in  std_ulogic; -- global clock line
907
      rden_i : in  std_ulogic; -- read enable
908
      wren_i : in  std_ulogic; -- write enable
909
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
910
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
911
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
912
      data_o : out std_ulogic_vector(31 downto 0); -- data out
913
      ack_o  : out std_ulogic -- transfer acknowledge
914
    );
915
  end component;
916
 
917
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
918
  -- -------------------------------------------------------------------------------------------
919
  component neorv32_dmem
920
    generic (
921
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
922
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
923
    );
924
    port (
925
      clk_i  : in  std_ulogic; -- global clock line
926
      rden_i : in  std_ulogic; -- read enable
927
      wren_i : in  std_ulogic; -- write enable
928
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
929
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
930
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
931
      data_o : out std_ulogic_vector(31 downto 0); -- data out
932
      ack_o  : out std_ulogic -- transfer acknowledge
933
    );
934
  end component;
935
 
936
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
937
  -- -------------------------------------------------------------------------------------------
938
  component neorv32_boot_rom
939 23 zero_gravi
    generic (
940
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000"; -- boot ROM base address
941
      BOOTROM_SIZE : natural := 4*1024  -- processor-internal boot ROM memory size in bytes
942
    );
943 2 zero_gravi
    port (
944
      clk_i  : in  std_ulogic; -- global clock line
945
      rden_i : in  std_ulogic; -- read enable
946
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
947
      data_o : out std_ulogic_vector(31 downto 0); -- data out
948
      ack_o  : out std_ulogic -- transfer acknowledge
949
    );
950
  end component;
951
 
952
  -- Component: Machine System Timer (mtime) ------------------------------------------------
953
  -- -------------------------------------------------------------------------------------------
954
  component neorv32_mtime
955
    port (
956
      -- host access --
957
      clk_i     : in  std_ulogic; -- global clock line
958 4 zero_gravi
      rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
959 2 zero_gravi
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
960
      rden_i    : in  std_ulogic; -- read enable
961
      wren_i    : in  std_ulogic; -- write enable
962
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
963
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
964
      ack_o     : out std_ulogic; -- transfer acknowledge
965 11 zero_gravi
      -- time output for CPU --
966
      time_o    : out std_ulogic_vector(63 downto 0); -- current system time
967 2 zero_gravi
      -- interrupt --
968
      irq_o     : out std_ulogic  -- interrupt request
969
    );
970
  end component;
971
 
972
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
973
  -- -------------------------------------------------------------------------------------------
974
  component neorv32_gpio
975
    port (
976
      -- host access --
977
      clk_i  : in  std_ulogic; -- global clock line
978
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
979
      rden_i : in  std_ulogic; -- read enable
980
      wren_i : in  std_ulogic; -- write enable
981
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
982
      data_o : out std_ulogic_vector(31 downto 0); -- data out
983
      ack_o  : out std_ulogic; -- transfer acknowledge
984
      -- parallel io --
985 22 zero_gravi
      gpio_o : out std_ulogic_vector(31 downto 0);
986
      gpio_i : in  std_ulogic_vector(31 downto 0);
987 2 zero_gravi
      -- interrupt --
988
      irq_o  : out std_ulogic
989
    );
990
  end component;
991
 
992
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
993
  -- -------------------------------------------------------------------------------------------
994
  component neorv32_wdt
995
    port (
996
      -- host access --
997
      clk_i       : in  std_ulogic; -- global clock line
998
      rstn_i      : in  std_ulogic; -- global reset line, low-active
999
      rden_i      : in  std_ulogic; -- read enable
1000
      wren_i      : in  std_ulogic; -- write enable
1001
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1002
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1003
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1004
      ack_o       : out std_ulogic; -- transfer acknowledge
1005
      -- clock generator --
1006
      clkgen_en_o : out std_ulogic; -- enable clock generator
1007
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1008
      -- timeout event --
1009
      irq_o       : out std_ulogic; -- timeout IRQ
1010
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1011
    );
1012
  end component;
1013
 
1014
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1015
  -- -------------------------------------------------------------------------------------------
1016
  component neorv32_uart
1017
    port (
1018
      -- host access --
1019
      clk_i       : in  std_ulogic; -- global clock line
1020
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1021
      rden_i      : in  std_ulogic; -- read enable
1022
      wren_i      : in  std_ulogic; -- write enable
1023
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1024
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1025
      ack_o       : out std_ulogic; -- transfer acknowledge
1026
      -- clock generator --
1027
      clkgen_en_o : out std_ulogic; -- enable clock generator
1028
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1029
      -- com lines --
1030
      uart_txd_o  : out std_ulogic;
1031
      uart_rxd_i  : in  std_ulogic;
1032
      -- interrupts --
1033
      uart_irq_o  : out std_ulogic  -- uart rx/tx interrupt
1034
    );
1035
  end component;
1036
 
1037
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1038
  -- -------------------------------------------------------------------------------------------
1039
  component neorv32_spi
1040
    port (
1041
      -- host access --
1042
      clk_i       : in  std_ulogic; -- global clock line
1043
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1044
      rden_i      : in  std_ulogic; -- read enable
1045
      wren_i      : in  std_ulogic; -- write enable
1046
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1047
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1048
      ack_o       : out std_ulogic; -- transfer acknowledge
1049
      -- clock generator --
1050
      clkgen_en_o : out std_ulogic; -- enable clock generator
1051
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1052
      -- com lines --
1053 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1054
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1055
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1056 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1057
      -- interrupt --
1058
      spi_irq_o   : out std_ulogic -- transmission done interrupt
1059
    );
1060
  end component;
1061
 
1062
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1063
  -- -------------------------------------------------------------------------------------------
1064
  component neorv32_twi
1065
    port (
1066
      -- host access --
1067
      clk_i       : in  std_ulogic; -- global clock line
1068
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1069
      rden_i      : in  std_ulogic; -- read enable
1070
      wren_i      : in  std_ulogic; -- write enable
1071
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1072
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1073
      ack_o       : out std_ulogic; -- transfer acknowledge
1074
      -- clock generator --
1075
      clkgen_en_o : out std_ulogic; -- enable clock generator
1076
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1077
      -- com lines --
1078
      twi_sda_io  : inout std_logic; -- serial data line
1079
      twi_scl_io  : inout std_logic; -- serial clock line
1080
      -- interrupt --
1081
      twi_irq_o   : out std_ulogic -- transfer done IRQ
1082
    );
1083
  end component;
1084
 
1085
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1086
  -- -------------------------------------------------------------------------------------------
1087
  component neorv32_pwm
1088
    port (
1089
      -- host access --
1090
      clk_i       : in  std_ulogic; -- global clock line
1091
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1092
      rden_i      : in  std_ulogic; -- read enable
1093
      wren_i      : in  std_ulogic; -- write enable
1094
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1095
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1096
      ack_o       : out std_ulogic; -- transfer acknowledge
1097
      -- clock generator --
1098
      clkgen_en_o : out std_ulogic; -- enable clock generator
1099
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1100
      -- pwm output channels --
1101
      pwm_o       : out std_ulogic_vector(03 downto 0)
1102
    );
1103
  end component;
1104
 
1105
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1106
  -- -------------------------------------------------------------------------------------------
1107
  component neorv32_trng
1108
    port (
1109
      -- host access --
1110
      clk_i  : in  std_ulogic; -- global clock line
1111
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1112
      rden_i : in  std_ulogic; -- read enable
1113
      wren_i : in  std_ulogic; -- write enable
1114
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1115
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1116
      ack_o  : out std_ulogic  -- transfer acknowledge
1117
    );
1118
  end component;
1119
 
1120
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1121
  -- -------------------------------------------------------------------------------------------
1122
  component neorv32_wishbone
1123
    generic (
1124 35 zero_gravi
      WB_PIPELINED_MODE : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode
1125 23 zero_gravi
      -- Internal instruction memory --
1126 35 zero_gravi
      MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
1127
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1128 23 zero_gravi
      -- Internal data memory --
1129 35 zero_gravi
      MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
1130
      MEM_INT_DMEM_SIZE : natural := 4*1024  -- size of processor-internal data memory in bytes
1131 2 zero_gravi
    );
1132
    port (
1133
      -- global control --
1134 39 zero_gravi
      clk_i     : in  std_ulogic; -- global clock line
1135
      rstn_i    : in  std_ulogic; -- global reset line, low-active
1136 2 zero_gravi
      -- host access --
1137 39 zero_gravi
      src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
1138
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1139
      rden_i    : in  std_ulogic; -- read enable
1140
      wren_i    : in  std_ulogic; -- write enable
1141
      ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
1142
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1143
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1144
      cancel_i  : in  std_ulogic; -- cancel current bus transaction
1145
      lock_i    : in  std_ulogic; -- locked/exclusive bus access
1146
      ack_o     : out std_ulogic; -- transfer acknowledge
1147
      err_o     : out std_ulogic; -- transfer error
1148
      priv_i    : in  std_ulogic_vector(1 downto 0); -- current CPU privilege level
1149 2 zero_gravi
      -- wishbone interface --
1150 39 zero_gravi
      wb_tag_o  : out std_ulogic_vector(2 downto 0); -- tag
1151
      wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
1152
      wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
1153
      wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
1154
      wb_we_o   : out std_ulogic; -- read/write
1155
      wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
1156
      wb_stb_o  : out std_ulogic; -- strobe
1157
      wb_cyc_o  : out std_ulogic; -- valid cycle
1158
      wb_lock_o : out std_ulogic; -- locked/exclusive bus access
1159
      wb_ack_i  : in  std_ulogic; -- transfer acknowledge
1160
      wb_err_i  : in  std_ulogic  -- transfer error
1161 2 zero_gravi
    );
1162
  end component;
1163
 
1164 34 zero_gravi
  -- Component: Custom Functions Unit 0 (CFU0) ----------------------------------------------
1165 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1166 34 zero_gravi
  component neorv32_cfu0
1167 23 zero_gravi
    port (
1168
      -- host access --
1169
      clk_i       : in  std_ulogic; -- global clock line
1170
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1171
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1172
      rden_i      : in  std_ulogic; -- read enable
1173
      wren_i      : in  std_ulogic; -- write enable
1174
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1175
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1176
      ack_o       : out std_ulogic; -- transfer acknowledge
1177
      -- clock generator --
1178
      clkgen_en_o : out std_ulogic; -- enable clock generator
1179 34 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0) -- "clock" inputs
1180 23 zero_gravi
      -- custom io --
1181
      -- ...
1182
    );
1183
  end component;
1184
 
1185 34 zero_gravi
  -- Component: Custom Functions Unit 1 (CFU1) ----------------------------------------------
1186
  -- -------------------------------------------------------------------------------------------
1187
  component neorv32_cfu1
1188
    port (
1189
      -- host access --
1190
      clk_i       : in  std_ulogic; -- global clock line
1191
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1192
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1193
      rden_i      : in  std_ulogic; -- read enable
1194
      wren_i      : in  std_ulogic; -- write enable
1195
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1196
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1197
      ack_o       : out std_ulogic; -- transfer acknowledge
1198
      -- clock generator --
1199
      clkgen_en_o : out std_ulogic; -- enable clock generator
1200
      clkgen_i    : in  std_ulogic_vector(07 downto 0) -- "clock" inputs
1201
      -- custom io --
1202
      -- ...
1203
    );
1204
  end component;
1205
 
1206 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1207
  -- -------------------------------------------------------------------------------------------
1208 12 zero_gravi
  component neorv32_sysinfo
1209
    generic (
1210
      -- General --
1211
      CLOCK_FREQUENCY   : natural := 0;      -- clock frequency of clk_i in Hz
1212
      BOOTLOADER_USE    : boolean := true;   -- implement processor-internal bootloader?
1213
      USER_CODE         : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1214 23 zero_gravi
      -- Internal Instruction memory --
1215 12 zero_gravi
      MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
1216
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1217
      MEM_INT_IMEM_ROM  : boolean := false;  -- implement processor-internal instruction memory as ROM
1218 23 zero_gravi
      -- Internal Data memory --
1219 12 zero_gravi
      MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
1220
      MEM_INT_DMEM_SIZE : natural := 4*1024; -- size of processor-internal data memory in bytes
1221 23 zero_gravi
      -- External memory interface --
1222 12 zero_gravi
      MEM_EXT_USE       : boolean := false;  -- implement external memory bus interface?
1223
      -- Processor peripherals --
1224
      IO_GPIO_USE       : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1225
      IO_MTIME_USE      : boolean := true;   -- implement machine system timer (MTIME)?
1226
      IO_UART_USE       : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
1227
      IO_SPI_USE        : boolean := true;   -- implement serial peripheral interface (SPI)?
1228
      IO_TWI_USE        : boolean := true;   -- implement two-wire interface (TWI)?
1229
      IO_PWM_USE        : boolean := true;   -- implement pulse-width modulation unit (PWM)?
1230
      IO_WDT_USE        : boolean := true;   -- implement watch dog timer (WDT)?
1231
      IO_TRNG_USE       : boolean := true;   -- implement true random number generator (TRNG)?
1232 34 zero_gravi
      IO_CFU0_USE       : boolean := true;   -- implement custom functions unit 0 (CFU0)?
1233
      IO_CFU1_USE       : boolean := true    -- implement custom functions unit 1 (CFU1)?
1234 12 zero_gravi
    );
1235
    port (
1236
      -- host access --
1237
      clk_i  : in  std_ulogic; -- global clock line
1238
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1239
      rden_i : in  std_ulogic; -- read enable
1240
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1241
      ack_o  : out std_ulogic  -- transfer acknowledge
1242
    );
1243
  end component;
1244
 
1245 2 zero_gravi
end neorv32_package;
1246
 
1247
package body neorv32_package is
1248
 
1249
  -- Function: Minimal required bit width ---------------------------------------------------
1250
  -- -------------------------------------------------------------------------------------------
1251
  function index_size_f(input : natural) return natural is
1252
  begin
1253
    for i in 0 to natural'high loop
1254
      if (2**i >= input) then
1255
        return i;
1256
      end if;
1257
    end loop; -- i
1258
    return 0;
1259
  end function index_size_f;
1260
 
1261
  -- Function: Conditional select natural ---------------------------------------------------
1262
  -- -------------------------------------------------------------------------------------------
1263
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
1264
  begin
1265
    if (cond = true) then
1266
      return val_t;
1267
    else
1268
      return val_f;
1269
    end if;
1270
  end function cond_sel_natural_f;
1271
 
1272
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
1273
  -- -------------------------------------------------------------------------------------------
1274
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
1275
  begin
1276
    if (cond = true) then
1277
      return val_t;
1278
    else
1279
      return val_f;
1280
    end if;
1281
  end function cond_sel_stdulogicvector_f;
1282
 
1283
  -- Function: Convert BOOL to STD_ULOGIC ---------------------------------------------------
1284
  -- -------------------------------------------------------------------------------------------
1285
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
1286
  begin
1287
    if (cond = true) then
1288
      return '1';
1289
    else
1290
      return '0';
1291
    end if;
1292
  end function bool_to_ulogic_f;
1293
 
1294
  -- Function: OR all bits ------------------------------------------------------------------
1295
  -- -------------------------------------------------------------------------------------------
1296
  function or_all_f(a : std_ulogic_vector) return std_ulogic is
1297
    variable tmp_v : std_ulogic;
1298
  begin
1299
    tmp_v := a(a'low);
1300 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1301
      for i in a'low+1 to a'high loop
1302
        tmp_v := tmp_v or a(i);
1303
      end loop; -- i
1304
    end if;
1305 2 zero_gravi
    return tmp_v;
1306
  end function or_all_f;
1307
 
1308
  -- Function: AND all bits -----------------------------------------------------------------
1309
  -- -------------------------------------------------------------------------------------------
1310
  function and_all_f(a : std_ulogic_vector) return std_ulogic is
1311
    variable tmp_v : std_ulogic;
1312
  begin
1313
    tmp_v := a(a'low);
1314 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1315
      for i in a'low+1 to a'high loop
1316
        tmp_v := tmp_v and a(i);
1317
      end loop; -- i
1318
    end if;
1319 2 zero_gravi
    return tmp_v;
1320
  end function and_all_f;
1321
 
1322
  -- Function: XOR all bits -----------------------------------------------------------------
1323
  -- -------------------------------------------------------------------------------------------
1324
  function xor_all_f(a : std_ulogic_vector) return std_ulogic is
1325
    variable tmp_v : std_ulogic;
1326
  begin
1327
    tmp_v := a(a'low);
1328 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1329
      for i in a'low+1 to a'high loop
1330
        tmp_v := tmp_v xor a(i);
1331
      end loop; -- i
1332
    end if;
1333 2 zero_gravi
    return tmp_v;
1334
  end function xor_all_f;
1335
 
1336
  -- Function: XNOR all bits ----------------------------------------------------------------
1337
  -- -------------------------------------------------------------------------------------------
1338
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic is
1339
    variable tmp_v : std_ulogic;
1340
  begin
1341
    tmp_v := a(a'low);
1342 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1343
      for i in a'low+1 to a'high loop
1344
        tmp_v := tmp_v xnor a(i);
1345
      end loop; -- i
1346
    end if;
1347 2 zero_gravi
    return tmp_v;
1348
  end function xnor_all_f;
1349
 
1350 6 zero_gravi
  -- Function: Convert to hex char ----------------------------------------------------------
1351
  -- -------------------------------------------------------------------------------------------
1352
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
1353
    variable output_v : character;
1354
  begin
1355
    case input is
1356 7 zero_gravi
      when x"0"   => output_v := '0';
1357
      when x"1"   => output_v := '1';
1358
      when x"2"   => output_v := '2';
1359
      when x"3"   => output_v := '3';
1360
      when x"4"   => output_v := '4';
1361
      when x"5"   => output_v := '5';
1362
      when x"6"   => output_v := '6';
1363
      when x"7"   => output_v := '7';
1364
      when x"8"   => output_v := '8';
1365
      when x"9"   => output_v := '9';
1366
      when x"a"   => output_v := 'a';
1367
      when x"b"   => output_v := 'b';
1368
      when x"c"   => output_v := 'c';
1369
      when x"d"   => output_v := 'd';
1370
      when x"e"   => output_v := 'e';
1371
      when x"f"   => output_v := 'f';
1372 6 zero_gravi
      when others => output_v := '?';
1373
    end case;
1374
    return output_v;
1375
  end function to_hexchar_f;
1376
 
1377 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
1378
  -- -------------------------------------------------------------------------------------------
1379
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
1380
    variable output_v : std_ulogic_vector(input'range);
1381
  begin
1382
    for i in 0 to input'length-1 loop
1383
      output_v(input'length-i-1) := input(i);
1384
    end loop; -- i
1385
    return output_v;
1386
  end function bit_rev_f;
1387
 
1388 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
1389
  -- -------------------------------------------------------------------------------------------
1390
  function is_power_of_two_f(input : natural) return boolean is
1391
  begin
1392 38 zero_gravi
    if (input = 1) then -- 2^0
1393 36 zero_gravi
      return true;
1394 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
1395
      return true;
1396 36 zero_gravi
    else
1397
      return false;
1398
    end if;
1399
  end function is_power_of_two_f;
1400
 
1401 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.