OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- (external) bus interface --
48 41 zero_gravi
  constant bus_timeout_c     : natural := 127; -- cycles after which an *unacknowledged* bus access will timeout and trigger a bus fault exception (min 2)
49 40 zero_gravi
  constant wb_pipe_mode_c    : boolean := false; -- *external* bus protocol: false=classic/standard wishbone mode (default), true=pipelined wishbone mode
50
  constant xbus_big_endian_c : boolean := true; -- external memory access byte order: true=big endian (default); false=little endian
51
 
52
  -- CPU core --
53 41 zero_gravi
  constant ipb_entries_c : natural := 2; -- entries in CPU instruction prefetch buffer, has to be a power of 2, default=2
54 40 zero_gravi
 
55
  -- physical memory protection (PMP) --
56
  constant pmp_num_regions_c     : natural := 2; -- number of regions (1..8)
57
  constant pmp_min_granularity_c : natural := 64*1024; -- minimal region size (granularity), min 8 bytes, has to be a power of 2
58
 
59
  -- Architecture Constants (do not modify!)= -----------------------------------------------
60 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
61 40 zero_gravi
  constant data_width_c   : natural := 32; -- data width - do not change!
62 41 zero_gravi
  constant hw_version_c   : std_ulogic_vector(31 downto 0) := x"01040904"; -- no touchy!
63 40 zero_gravi
  constant pmp_max_r_c    : natural := 8; -- max PMP regions - FIXED!
64
  constant archid_c       : natural := 19; -- official NEORV32 architecture ID - hands off!
65
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a physical register that has to be initialized to zero by the HW
66 27 zero_gravi
 
67 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
68 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
69
  function index_size_f(input : natural) return natural;
70
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
71
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
72
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
73 15 zero_gravi
  function or_all_f(a : std_ulogic_vector) return std_ulogic;
74
  function and_all_f(a : std_ulogic_vector) return std_ulogic;
75
  function xor_all_f(a : std_ulogic_vector) return std_ulogic;
76 2 zero_gravi
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic;
77 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
78 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
79 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
80 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
81 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
82 2 zero_gravi
 
83 15 zero_gravi
  -- Internal Types -------------------------------------------------------------------------
84
  -- -------------------------------------------------------------------------------------------
85
  type pmp_ctrl_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
86
  type pmp_addr_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(33 downto 0);
87
 
88 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
89
  -- -------------------------------------------------------------------------------------------
90 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
91 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
92
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
93
  --> memory sizes are configured via top's generics
94 2 zero_gravi
 
95 23 zero_gravi
  -- Internal Bootloader ROM --
96
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFF0000"; -- bootloader base address, fixed!
97
  constant boot_rom_size_c      : natural := 4*1024; -- bytes
98
  constant boot_rom_max_size_c  : natural := 32*1024; -- bytes, fixed!
99
 
100 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
101
  -- Control register(s) (including the device-enable) should be located at the base address of each device
102
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
103
  constant io_size_c            : natural := 32*4; -- bytes, fixed!
104
 
105
  -- General Purpose Input/Output Unit (GPIO) --
106
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80"; -- base address, fixed!
107 23 zero_gravi
  constant gpio_size_c          : natural := 2*4; -- bytes
108
  constant gpio_in_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
109
  constant gpio_out_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF84";
110 2 zero_gravi
 
111 30 zero_gravi
  -- True Random Number Generator (TRNG) --
112
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88"; -- base address, fixed!
113
  constant trng_size_c          : natural := 1*4; -- bytes
114
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88";
115 2 zero_gravi
 
116
  -- Watch Dog Timer (WDT) --
117
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C"; -- base address, fixed!
118 23 zero_gravi
  constant wdt_size_c           : natural := 1*4; -- bytes
119
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C";
120 2 zero_gravi
 
121
  -- Machine System Timer (MTIME) --
122
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90"; -- base address, fixed!
123 23 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- bytes
124
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90";
125
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF94";
126
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF98";
127
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF9C";
128 2 zero_gravi
 
129
  -- Universal Asynchronous Receiver/Transmitter (UART) --
130
  constant uart_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0"; -- base address, fixed!
131 23 zero_gravi
  constant uart_size_c          : natural := 2*4; -- bytes
132
  constant uart_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0";
133
  constant uart_rtx_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA4";
134 2 zero_gravi
 
135
  -- Serial Peripheral Interface (SPI) --
136
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8"; -- base address, fixed!
137 23 zero_gravi
  constant spi_size_c           : natural := 2*4; -- bytes
138
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8";
139
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFAC";
140 2 zero_gravi
 
141
  -- Two Wire Interface (TWI) --
142
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0"; -- base address, fixed!
143 23 zero_gravi
  constant twi_size_c           : natural := 2*4; -- bytes
144
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0";
145
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB4";
146 2 zero_gravi
 
147
  -- Pulse-Width Modulation Controller (PWM) --
148
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8"; -- base address, fixed!
149 23 zero_gravi
  constant pwm_size_c           : natural := 2*4; -- bytes
150
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8";
151
  constant pwm_duty_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFBC";
152 2 zero_gravi
 
153 34 zero_gravi
  -- Custom Functions Unit 0 (CFU0) --
154
  constant cfu0_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0"; -- base address, fixed!
155
  constant cfu0_size_c          : natural := 4*4; -- bytes
156
  constant cfu0_reg0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0";
157
  constant cfu0_reg1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC4";
158
  constant cfu0_reg2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC8";
159
  constant cfu0_reg3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFCC";
160 12 zero_gravi
 
161 34 zero_gravi
  -- Custom Functions Unit 1 (CFU1) --
162
  constant cfu1_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0"; -- base address, fixed!
163
  constant cfu1_size_c          : natural := 4*4; -- bytes
164
  constant cfu1_reg0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0";
165
  constant cfu1_reg1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD4";
166
  constant cfu1_reg2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8";
167
  constant cfu1_reg3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFDC";
168 23 zero_gravi
 
169
  -- System Information Memory (SYSINFO) --
170 12 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFE0"; -- base address, fixed!
171 23 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- bytes
172 12 zero_gravi
 
173 2 zero_gravi
  -- Main Control Bus -----------------------------------------------------------------------
174
  -- -------------------------------------------------------------------------------------------
175
  -- register file --
176 39 zero_gravi
  constant ctrl_rf_in_mux_lsb_c : natural :=  0; -- input source select lsb (10=MEM, 11=CSR)
177
  constant ctrl_rf_in_mux_msb_c : natural :=  1; -- input source select msb (0-=ALU)
178 36 zero_gravi
  constant ctrl_rf_rs1_adr0_c   : natural :=  2; -- source register 1 address bit 0
179
  constant ctrl_rf_rs1_adr1_c   : natural :=  3; -- source register 1 address bit 1
180
  constant ctrl_rf_rs1_adr2_c   : natural :=  4; -- source register 1 address bit 2
181
  constant ctrl_rf_rs1_adr3_c   : natural :=  5; -- source register 1 address bit 3
182
  constant ctrl_rf_rs1_adr4_c   : natural :=  6; -- source register 1 address bit 4
183
  constant ctrl_rf_rs2_adr0_c   : natural :=  7; -- source register 2 address bit 0
184
  constant ctrl_rf_rs2_adr1_c   : natural :=  8; -- source register 2 address bit 1
185
  constant ctrl_rf_rs2_adr2_c   : natural :=  9; -- source register 2 address bit 2
186
  constant ctrl_rf_rs2_adr3_c   : natural := 10; -- source register 2 address bit 3
187
  constant ctrl_rf_rs2_adr4_c   : natural := 11; -- source register 2 address bit 4
188
  constant ctrl_rf_rd_adr0_c    : natural := 12; -- destiantion register address bit 0
189
  constant ctrl_rf_rd_adr1_c    : natural := 13; -- destiantion register address bit 1
190
  constant ctrl_rf_rd_adr2_c    : natural := 14; -- destiantion register address bit 2
191
  constant ctrl_rf_rd_adr3_c    : natural := 15; -- destiantion register address bit 3
192
  constant ctrl_rf_rd_adr4_c    : natural := 16; -- destiantion register address bit 4
193
  constant ctrl_rf_wb_en_c      : natural := 17; -- write back enable
194
  constant ctrl_rf_r0_we_c      : natural := 18; -- force write access and force rd=r0
195 2 zero_gravi
  -- alu --
196 39 zero_gravi
  constant ctrl_alu_arith_c     : natural := 19; -- ALU arithmetic command
197
  constant ctrl_alu_logic0_c    : natural := 20; -- ALU logic command bit 0
198
  constant ctrl_alu_logic1_c    : natural := 21; -- ALU logic command bit 1
199
  constant ctrl_alu_func0_c     : natural := 22; -- ALU function select command bit 0
200
  constant ctrl_alu_func1_c     : natural := 23; -- ALU function select command bit 1
201
  constant ctrl_alu_addsub_c    : natural := 24; -- 0=ADD, 1=SUB
202
  constant ctrl_alu_opa_mux_c   : natural := 25; -- operand A select (0=rs1, 1=PC)
203
  constant ctrl_alu_opb_mux_c   : natural := 26; -- operand B select (0=rs2, 1=IMM)
204
  constant ctrl_alu_unsigned_c  : natural := 27; -- is unsigned ALU operation
205
  constant ctrl_alu_shift_dir_c : natural := 28; -- shift direction (0=left, 1=right)
206
  constant ctrl_alu_shift_ar_c  : natural := 29; -- is arithmetic shift
207 2 zero_gravi
  -- bus interface --
208 39 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 30; -- transfer size lsb (00=byte, 01=half-word)
209
  constant ctrl_bus_size_msb_c  : natural := 31; -- transfer size msb (10=word, 11=?)
210
  constant ctrl_bus_rd_c        : natural := 32; -- read data request
211
  constant ctrl_bus_wr_c        : natural := 33; -- write data request
212
  constant ctrl_bus_if_c        : natural := 34; -- instruction fetch request
213
  constant ctrl_bus_mo_we_c     : natural := 35; -- memory address and data output register write enable
214
  constant ctrl_bus_mi_we_c     : natural := 36; -- memory data input register write enable
215
  constant ctrl_bus_unsigned_c  : natural := 37; -- is unsigned load
216
  constant ctrl_bus_ierr_ack_c  : natural := 38; -- acknowledge instruction fetch bus exceptions
217
  constant ctrl_bus_derr_ack_c  : natural := 39; -- acknowledge data access bus exceptions
218
  constant ctrl_bus_fence_c     : natural := 40; -- executed fence operation
219
  constant ctrl_bus_fencei_c    : natural := 41; -- executed fencei operation
220
  constant ctrl_bus_lock_c      : natural := 42; -- locked/exclusive bus access
221 26 zero_gravi
  -- co-processors --
222 39 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 43; -- cp select ID lsb
223
  constant ctrl_cp_id_msb_c     : natural := 44; -- cp select ID msb
224 36 zero_gravi
  -- current privilege level --
225 39 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 45; -- privilege level lsb
226
  constant ctrl_priv_lvl_msb_c  : natural := 46; -- privilege level msb
227 36 zero_gravi
  -- instruction's control blocks --
228 39 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 47; -- funct3 bit 0
229
  constant ctrl_ir_funct3_1_c   : natural := 48; -- funct3 bit 1
230
  constant ctrl_ir_funct3_2_c   : natural := 49; -- funct3 bit 2
231
  constant ctrl_ir_funct12_0_c  : natural := 50; -- funct12 bit 0
232
  constant ctrl_ir_funct12_1_c  : natural := 51; -- funct12 bit 1
233
  constant ctrl_ir_funct12_2_c  : natural := 52; -- funct12 bit 2
234
  constant ctrl_ir_funct12_3_c  : natural := 53; -- funct12 bit 3
235
  constant ctrl_ir_funct12_4_c  : natural := 54; -- funct12 bit 4
236
  constant ctrl_ir_funct12_5_c  : natural := 55; -- funct12 bit 5
237
  constant ctrl_ir_funct12_6_c  : natural := 56; -- funct12 bit 6
238
  constant ctrl_ir_funct12_7_c  : natural := 57; -- funct12 bit 7
239
  constant ctrl_ir_funct12_8_c  : natural := 58; -- funct12 bit 8
240
  constant ctrl_ir_funct12_9_c  : natural := 59; -- funct12 bit 9
241
  constant ctrl_ir_funct12_10_c : natural := 60; -- funct12 bit 10
242
  constant ctrl_ir_funct12_11_c : natural := 61; -- funct12 bit 11
243 2 zero_gravi
  -- control bus size --
244 39 zero_gravi
  constant ctrl_width_c         : natural := 62; -- control bus size
245 2 zero_gravi
 
246
  -- ALU Comparator Bus ---------------------------------------------------------------------
247
  -- -------------------------------------------------------------------------------------------
248
  constant alu_cmp_equal_c : natural := 0;
249 6 zero_gravi
  constant alu_cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
250 2 zero_gravi
 
251
  -- RISC-V Opcode Layout -------------------------------------------------------------------
252
  -- -------------------------------------------------------------------------------------------
253
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
254
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
255
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
256
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
257
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
258
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
259
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
260
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
261
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
262
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
263
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
264
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
265
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
266
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
267
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
268
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
269
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
270
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
271
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
272
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
273 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
274
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
275 2 zero_gravi
 
276
  -- RISC-V Opcodes -------------------------------------------------------------------------
277
  -- -------------------------------------------------------------------------------------------
278
  -- alu --
279
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
280
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
281
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
282
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
283
  -- control flow --
284
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
285 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
286 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
287
  -- memory access --
288
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
289
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
290
  -- system/csr --
291 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
292 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
293 39 zero_gravi
  -- atomic operations (A) --
294
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
295 2 zero_gravi
 
296
  -- RISC-V Funct3 --------------------------------------------------------------------------
297
  -- -------------------------------------------------------------------------------------------
298
  -- control flow --
299
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
300
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
301
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
302
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
303
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
304
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
305
  -- memory access --
306
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
307
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
308
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
309
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
310
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
311
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
312
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
313
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
314
  -- alu --
315
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
316
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
317
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
318
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
319
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
320
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
321
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
322
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
323
  -- system/csr --
324
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi
325
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
326
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
327
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
328
  --
329
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
330
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
331
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
332 8 zero_gravi
  -- fence --
333
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
334
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
335 2 zero_gravi
 
336 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
337 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
338
  -- system --
339
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
340
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
341
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
342
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
343
 
344 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
345
  -- -------------------------------------------------------------------------------------------
346
  -- atomic operations --
347
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
348
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
349
 
350 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
351
  -- -------------------------------------------------------------------------------------------
352 41 zero_gravi
  -- read/write CSRs --
353
  constant csr_mstatus_c       : std_ulogic_vector(11 downto 0) := x"300"; -- mstatus
354
  constant csr_misa_c          : std_ulogic_vector(11 downto 0) := x"301"; -- misa
355
  constant csr_mie_c           : std_ulogic_vector(11 downto 0) := x"304"; -- mie
356
  constant csr_mtvec_c         : std_ulogic_vector(11 downto 0) := x"305"; -- mtvec
357
  constant csr_mcounteren_c    : std_ulogic_vector(11 downto 0) := x"306"; -- mcounteren
358
  constant csr_mstatush_c      : std_ulogic_vector(11 downto 0) := x"310"; -- mstatush
359 29 zero_gravi
  --
360 41 zero_gravi
  constant csr_mcountinhibit_c : std_ulogic_vector(11 downto 0) := x"320"; -- mcountinhibit
361 29 zero_gravi
  --
362 41 zero_gravi
  constant csr_mscratch_c      : std_ulogic_vector(11 downto 0) := x"340"; -- mscratch
363
  constant csr_mepc_c          : std_ulogic_vector(11 downto 0) := x"341"; -- mepc
364
  constant csr_mcause_c        : std_ulogic_vector(11 downto 0) := x"342"; -- mcause
365
  constant csr_mtval_c         : std_ulogic_vector(11 downto 0) := x"343"; -- mtval
366
  constant csr_mip_c           : std_ulogic_vector(11 downto 0) := x"344"; -- mip
367 29 zero_gravi
  --
368 41 zero_gravi
  constant csr_pmpcfg0_c       : std_ulogic_vector(11 downto 0) := x"3a0"; -- pmpcfg0
369
  constant csr_pmpcfg1_c       : std_ulogic_vector(11 downto 0) := x"3a1"; -- pmpcfg1
370 29 zero_gravi
  --
371 41 zero_gravi
  constant csr_pmpaddr0_c      : std_ulogic_vector(11 downto 0) := x"3b0"; -- pmpaddr0
372
  constant csr_pmpaddr1_c      : std_ulogic_vector(11 downto 0) := x"3b1"; -- pmpaddr1
373
  constant csr_pmpaddr2_c      : std_ulogic_vector(11 downto 0) := x"3b2"; -- pmpaddr2
374
  constant csr_pmpaddr3_c      : std_ulogic_vector(11 downto 0) := x"3b3"; -- pmpaddr3
375
  constant csr_pmpaddr4_c      : std_ulogic_vector(11 downto 0) := x"3b4"; -- pmpaddr4
376
  constant csr_pmpaddr5_c      : std_ulogic_vector(11 downto 0) := x"3b5"; -- pmpaddr5
377
  constant csr_pmpaddr6_c      : std_ulogic_vector(11 downto 0) := x"3b6"; -- pmpaddr6
378
  constant csr_pmpaddr7_c      : std_ulogic_vector(11 downto 0) := x"3b7"; -- pmpaddr7
379 29 zero_gravi
  --
380 41 zero_gravi
  constant csr_mcycle_c        : std_ulogic_vector(11 downto 0) := x"b00"; -- mcycle
381
  constant csr_minstret_c      : std_ulogic_vector(11 downto 0) := x"b02"; -- minstret
382 29 zero_gravi
  --
383 41 zero_gravi
  constant csr_mcycleh_c       : std_ulogic_vector(11 downto 0) := x"b80"; -- mcycleh
384
  constant csr_minstreth_c     : std_ulogic_vector(11 downto 0) := x"b82"; -- minstreth
385
  -- read-only CSRs --
386
  constant csr_cycle_c         : std_ulogic_vector(11 downto 0) := x"c00"; -- cycle
387
  constant csr_time_c          : std_ulogic_vector(11 downto 0) := x"c01"; -- time
388
  constant csr_instret_c       : std_ulogic_vector(11 downto 0) := x"c02"; -- instret
389 29 zero_gravi
  --
390 41 zero_gravi
  constant csr_cycleh_c        : std_ulogic_vector(11 downto 0) := x"c80"; -- cycleh
391
  constant csr_timeh_c         : std_ulogic_vector(11 downto 0) := x"c81"; -- timeh
392
  constant csr_instreth_c      : std_ulogic_vector(11 downto 0) := x"c82"; -- instreth
393 29 zero_gravi
  --
394 41 zero_gravi
  constant csr_mvendorid_c     : std_ulogic_vector(11 downto 0) := x"f11"; -- mvendorid
395
  constant csr_marchid_c       : std_ulogic_vector(11 downto 0) := x"f12"; -- marchid
396
  constant csr_mimpid_c        : std_ulogic_vector(11 downto 0) := x"f13"; -- mimpid
397
  constant csr_mhartid_c       : std_ulogic_vector(11 downto 0) := x"f14"; -- mhartid
398 29 zero_gravi
  --
399 41 zero_gravi
  constant csr_mzext_c         : std_ulogic_vector(11 downto 0) := x"fc0"; -- mzext (neorv32-custom)
400 29 zero_gravi
 
401 2 zero_gravi
  -- Co-Processor Operations ----------------------------------------------------------------
402
  -- -------------------------------------------------------------------------------------------
403
  -- cp ids --
404 39 zero_gravi
  constant cp_sel_muldiv_c : std_ulogic_vector(1 downto 0) := "00"; -- MULDIV
405
  constant cp_sel_atomic_c : std_ulogic_vector(1 downto 0) := "01"; -- atomic operations success/failure evaluation
406
--constant cp_sel_reserv_c : std_ulogic_vector(1 downto 0) := "10"; -- reserved
407
--constant cp_sel_reserv_c : std_ulogic_vector(1 downto 0) := "11"; -- reserved
408 2 zero_gravi
  -- muldiv cp --
409 36 zero_gravi
  constant cp_op_mul_c    : std_ulogic_vector(2 downto 0) := "000"; -- mul
410
  constant cp_op_mulh_c   : std_ulogic_vector(2 downto 0) := "001"; -- mulh
411
  constant cp_op_mulhsu_c : std_ulogic_vector(2 downto 0) := "010"; -- mulhsu
412
  constant cp_op_mulhu_c  : std_ulogic_vector(2 downto 0) := "011"; -- mulhu
413
  constant cp_op_div_c    : std_ulogic_vector(2 downto 0) := "100"; -- div
414
  constant cp_op_divu_c   : std_ulogic_vector(2 downto 0) := "101"; -- divu
415
  constant cp_op_rem_c    : std_ulogic_vector(2 downto 0) := "110"; -- rem
416
  constant cp_op_remu_c   : std_ulogic_vector(2 downto 0) := "111"; -- remu
417 2 zero_gravi
 
418
  -- ALU Function Codes ---------------------------------------------------------------------
419
  -- -------------------------------------------------------------------------------------------
420 39 zero_gravi
  -- arithmetic core --
421
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
422
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
423
  -- logic core --
424
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
425
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
426
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
427
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
428
  -- function select (actual alu result) --
429
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
430
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
431
  constant alu_func_cmd_shift_c   : std_ulogic_vector(1 downto 0) := "10"; -- r <= A <</>> B (iterative)
432
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (iterative)
433 2 zero_gravi
 
434 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
435
  -- -------------------------------------------------------------------------------------------
436 39 zero_gravi
  -- RISC-V compliant exceptions --
437
  constant trap_ima_c   : std_ulogic_vector(5 downto 0) := "0" & "00000"; -- 0.0:  instruction misaligned
438
  constant trap_iba_c   : std_ulogic_vector(5 downto 0) := "0" & "00001"; -- 0.1:  instruction access fault
439
  constant trap_iil_c   : std_ulogic_vector(5 downto 0) := "0" & "00010"; -- 0.2:  illegal instruction
440
  constant trap_brk_c   : std_ulogic_vector(5 downto 0) := "0" & "00011"; -- 0.3:  breakpoint
441
  constant trap_lma_c   : std_ulogic_vector(5 downto 0) := "0" & "00100"; -- 0.4:  load address misaligned
442
  constant trap_lbe_c   : std_ulogic_vector(5 downto 0) := "0" & "00101"; -- 0.5:  load access fault
443
  constant trap_sma_c   : std_ulogic_vector(5 downto 0) := "0" & "00110"; -- 0.6:  store address misaligned
444
  constant trap_sbe_c   : std_ulogic_vector(5 downto 0) := "0" & "00111"; -- 0.7:  store access fault
445 40 zero_gravi
  constant trap_uenv_c  : std_ulogic_vector(5 downto 0) := "0" & "01000"; -- 0.8:  environment call from u-mode
446 39 zero_gravi
  constant trap_menv_c  : std_ulogic_vector(5 downto 0) := "0" & "01011"; -- 0.11: environment call from m-mode
447
  -- RISC-V compliant interrupts --
448
  constant trap_msi_c   : std_ulogic_vector(5 downto 0) := "1" & "00011"; -- 1.3:  machine software interrupt
449
  constant trap_mti_c   : std_ulogic_vector(5 downto 0) := "1" & "00111"; -- 1.7:  machine timer interrupt
450
  constant trap_mei_c   : std_ulogic_vector(5 downto 0) := "1" & "01011"; -- 1.11: machine external interrupt
451
  -- NEORV32-specific (custom) interrupts --
452 40 zero_gravi
  constant trap_reset_c : std_ulogic_vector(5 downto 0) := "1" & "00000"; -- 1.0:  hardware reset
453 39 zero_gravi
  constant trap_firq0_c : std_ulogic_vector(5 downto 0) := "1" & "10000"; -- 1.16: fast interrupt 0
454
  constant trap_firq1_c : std_ulogic_vector(5 downto 0) := "1" & "10001"; -- 1.17: fast interrupt 1
455
  constant trap_firq2_c : std_ulogic_vector(5 downto 0) := "1" & "10010"; -- 1.18: fast interrupt 2
456
  constant trap_firq3_c : std_ulogic_vector(5 downto 0) := "1" & "10011"; -- 1.19: fast interrupt 3
457 12 zero_gravi
 
458 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
459
  -- -------------------------------------------------------------------------------------------
460
  -- exception source bits --
461
  constant exception_iaccess_c   : natural := 0; -- instrution access fault
462
  constant exception_iillegal_c  : natural := 1; -- illegal instrution
463
  constant exception_ialign_c    : natural := 2; -- instrution address misaligned
464
  constant exception_m_envcall_c : natural := 3; -- ENV call from m-mode
465 40 zero_gravi
  constant exception_u_envcall_c : natural := 4; -- ENV call from u-mode
466
  constant exception_break_c     : natural := 5; -- breakpoint
467
  constant exception_salign_c    : natural := 6; -- store address misaligned
468
  constant exception_lalign_c    : natural := 7; -- load address misaligned
469
  constant exception_saccess_c   : natural := 8; -- store access fault
470
  constant exception_laccess_c   : natural := 9; -- load access fault
471 14 zero_gravi
  --
472 40 zero_gravi
  constant exception_width_c     : natural := 10; -- length of this list in bits
473 2 zero_gravi
  -- interrupt source bits --
474 12 zero_gravi
  constant interrupt_msw_irq_c   : natural := 0; -- machine software interrupt
475
  constant interrupt_mtime_irq_c : natural := 1; -- machine timer interrupt
476 2 zero_gravi
  constant interrupt_mext_irq_c  : natural := 2; -- machine external interrupt
477 14 zero_gravi
  constant interrupt_firq_0_c    : natural := 3; -- fast interrupt channel 0
478
  constant interrupt_firq_1_c    : natural := 4; -- fast interrupt channel 1
479
  constant interrupt_firq_2_c    : natural := 5; -- fast interrupt channel 2
480
  constant interrupt_firq_3_c    : natural := 6; -- fast interrupt channel 3
481
  --
482
  constant interrupt_width_c     : natural := 7; -- length of this list in bits
483 2 zero_gravi
 
484 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
485
  -- -------------------------------------------------------------------------------------------
486 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
487
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
488 15 zero_gravi
 
489 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
490 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
491
  constant clk_div2_c    : natural := 0;
492
  constant clk_div4_c    : natural := 1;
493
  constant clk_div8_c    : natural := 2;
494
  constant clk_div64_c   : natural := 3;
495
  constant clk_div128_c  : natural := 4;
496
  constant clk_div1024_c : natural := 5;
497
  constant clk_div2048_c : natural := 6;
498
  constant clk_div4096_c : natural := 7;
499
 
500
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
501
  -- -------------------------------------------------------------------------------------------
502
  component neorv32_top
503
    generic (
504
      -- General --
505 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
506 8 zero_gravi
      BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
507 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
508 36 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id (hartid)
509 2 zero_gravi
      -- RISC-V CPU Extensions --
510 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
511 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
512 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
513 18 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
514
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
515 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
516 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
517 19 zero_gravi
      -- Extension Options --
518 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
519
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
520 15 zero_gravi
      -- Physical Memory Protection (PMP) --
521 34 zero_gravi
      PMP_USE                      : boolean := false;  -- implement PMP?
522 23 zero_gravi
      -- Internal Instruction memory --
523 34 zero_gravi
      MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
524 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
525 34 zero_gravi
      MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
526 23 zero_gravi
      -- Internal Data memory --
527 8 zero_gravi
      MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
528
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
529 41 zero_gravi
      -- Internal Cache memory --
530
      ICACHE_USE                   : boolean := false;  -- implement instruction cache
531
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
532
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
533 23 zero_gravi
      -- External memory interface --
534 8 zero_gravi
      MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
535 2 zero_gravi
      -- Processor peripherals --
536 8 zero_gravi
      IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
537
      IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
538
      IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
539
      IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
540
      IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
541
      IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
542
      IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
543
      IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
544 34 zero_gravi
      IO_CFU0_USE                  : boolean := false;  -- implement custom functions unit 0 (CFU0)?
545
      IO_CFU1_USE                  : boolean := false   -- implement custom functions unit 1 (CFU1)?
546 2 zero_gravi
    );
547
    port (
548
      -- Global control --
549 34 zero_gravi
      clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
550
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
551 2 zero_gravi
      -- Wishbone bus interface --
552 36 zero_gravi
      wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
553 34 zero_gravi
      wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
554
      wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
555
      wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
556
      wb_we_o     : out std_ulogic; -- read/write
557
      wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
558
      wb_stb_o    : out std_ulogic; -- strobe
559
      wb_cyc_o    : out std_ulogic; -- valid cycle
560 39 zero_gravi
      wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
561 34 zero_gravi
      wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
562
      wb_err_i    : in  std_ulogic := '0'; -- transfer error
563 12 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_USE = true) --
564 34 zero_gravi
      fence_o     : out std_ulogic; -- indicates an executed FENCE operation
565
      fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
566 2 zero_gravi
      -- GPIO --
567 34 zero_gravi
      gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
568
      gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
569 2 zero_gravi
      -- UART --
570 34 zero_gravi
      uart_txd_o  : out std_ulogic; -- UART send data
571
      uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
572 2 zero_gravi
      -- SPI --
573 34 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
574
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
575
      spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
576
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
577 2 zero_gravi
      -- TWI --
578 35 zero_gravi
      twi_sda_io  : inout std_logic; -- twi serial data line
579
      twi_scl_io  : inout std_logic; -- twi serial clock line
580 2 zero_gravi
      -- PWM --
581 40 zero_gravi
      pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
582
      -- system time input from external MTIME (available if IO_MTIME_USE = false) --
583
      mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
584 2 zero_gravi
      -- Interrupts --
585 34 zero_gravi
      mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_USE = false
586
      msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
587
      mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
588 2 zero_gravi
    );
589
  end component;
590
 
591 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
592
  -- -------------------------------------------------------------------------------------------
593
  component neorv32_cpu
594
    generic (
595
      -- General --
596 36 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id
597
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0) := (others => '0'); -- cpu boot address
598 41 zero_gravi
      BUS_TIMEOUT                  : natural := 63;    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
599 4 zero_gravi
      -- RISC-V CPU Extensions --
600 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
601 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
602
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
603
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
604 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
605 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
606
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
607 19 zero_gravi
      -- Extension Options --
608
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
609 34 zero_gravi
      FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
610 15 zero_gravi
      -- Physical Memory Protection (PMP) --
611 40 zero_gravi
      PMP_USE                      : boolean := false  -- implement PMP?
612 4 zero_gravi
    );
613
    port (
614
      -- global control --
615 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
616
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
617 12 zero_gravi
      -- instruction bus interface --
618
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
619 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
620 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
621
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
622
      i_bus_we_o     : out std_ulogic; -- write enable
623
      i_bus_re_o     : out std_ulogic; -- read enable
624
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
625 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
626
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
627 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
628 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
629 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
630 12 zero_gravi
      -- data bus interface --
631
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
632 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
633 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
634
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
635
      d_bus_we_o     : out std_ulogic; -- write enable
636
      d_bus_re_o     : out std_ulogic; -- read enable
637
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
638 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
639
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
640 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
641 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
642 39 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- locked/exclusive access
643 11 zero_gravi
      -- system time input from MTIME --
644 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
645
      -- interrupts (risc-v compliant) --
646
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
647
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
648
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
649
      -- fast interrupts (custom) --
650
      firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
651 4 zero_gravi
    );
652
  end component;
653
 
654 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
655
  -- -------------------------------------------------------------------------------------------
656
  component neorv32_cpu_control
657
    generic (
658
      -- General --
659 12 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
660
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
661 2 zero_gravi
      -- RISC-V CPU Extensions --
662 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
663 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
664
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
665
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
666 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
667 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
668 15 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
669
      -- Physical memory protection (PMP) --
670 40 zero_gravi
      PMP_USE                      : boolean := false  -- implement physical memory protection?
671 2 zero_gravi
    );
672
    port (
673
      -- global control --
674
      clk_i         : in  std_ulogic; -- global clock, rising edge
675
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
676
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
677
      -- status input --
678
      alu_wait_i    : in  std_ulogic; -- wait for ALU
679 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
680
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
681 2 zero_gravi
      -- data input --
682
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
683
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
684 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
685
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
686 2 zero_gravi
      -- data output --
687
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
688 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
689
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
690 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
691 14 zero_gravi
      -- interrupts (risc-v compliant) --
692
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
693
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
694 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
695 14 zero_gravi
      -- fast interrupts (custom) --
696
      firq_i        : in  std_ulogic_vector(3 downto 0);
697 11 zero_gravi
      -- system time input from MTIME --
698
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
699 15 zero_gravi
      -- physical memory protection --
700
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
701
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
702 2 zero_gravi
      -- bus access exceptions --
703
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
704
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
705
      ma_load_i     : in  std_ulogic; -- misaligned load data address
706
      ma_store_i    : in  std_ulogic; -- misaligned store data address
707
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
708
      be_load_i     : in  std_ulogic; -- bus error on load data access
709 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
710 2 zero_gravi
    );
711
  end component;
712
 
713
  -- Component: CPU Register File -----------------------------------------------------------
714
  -- -------------------------------------------------------------------------------------------
715
  component neorv32_cpu_regfile
716
    generic (
717
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
718
    );
719
    port (
720
      -- global control --
721
      clk_i  : in  std_ulogic; -- global clock, rising edge
722
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
723
      -- data input --
724
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
725
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
726
      csr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
727
      -- data output --
728
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
729
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
730
    );
731
  end component;
732
 
733
  -- Component: CPU ALU ---------------------------------------------------------------------
734
  -- -------------------------------------------------------------------------------------------
735
  component neorv32_cpu_alu
736 11 zero_gravi
    generic (
737 34 zero_gravi
      CPU_EXTENSION_RISCV_M : boolean := true; -- implement muld/div extension?
738
      FAST_SHIFT_EN         : boolean := false -- use barrel shifter for shift operations
739 11 zero_gravi
    );
740 2 zero_gravi
    port (
741
      -- global control --
742
      clk_i       : in  std_ulogic; -- global clock, rising edge
743
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
744
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
745
      -- data input --
746
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
747
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
748
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
749
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
750
      -- data output --
751
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
752
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
753 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
754
      opb_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand B
755 2 zero_gravi
      -- co-processor interface --
756 19 zero_gravi
      cp0_start_o : out std_ulogic; -- trigger co-processor 0
757 2 zero_gravi
      cp0_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 0 result
758
      cp0_valid_i : in  std_ulogic; -- co-processor 0 result valid
759 19 zero_gravi
      cp1_start_o : out std_ulogic; -- trigger co-processor 1
760 2 zero_gravi
      cp1_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 1 result
761
      cp1_valid_i : in  std_ulogic; -- co-processor 1 result valid
762 36 zero_gravi
      cp2_start_o : out std_ulogic; -- trigger co-processor 2
763
      cp2_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 2 result
764
      cp2_valid_i : in  std_ulogic; -- co-processor 2 result valid
765
      cp3_start_o : out std_ulogic; -- trigger co-processor 3
766
      cp3_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 3 result
767
      cp3_valid_i : in  std_ulogic; -- co-processor 3 result valid
768 2 zero_gravi
      -- status --
769
      wait_o      : out std_ulogic -- busy due to iterative processing units
770
    );
771
  end component;
772
 
773
  -- Component: CPU Co-Processor MULDIV -----------------------------------------------------
774
  -- -------------------------------------------------------------------------------------------
775
  component neorv32_cpu_cp_muldiv
776 19 zero_gravi
    generic (
777
      FAST_MUL_EN : boolean := false -- use DSPs for faster multiplication
778
    );
779 2 zero_gravi
    port (
780
      -- global control --
781
      clk_i   : in  std_ulogic; -- global clock, rising edge
782
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
783
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
784 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
785 2 zero_gravi
      -- data input --
786
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
787
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
788
      -- result and status --
789
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
790
      valid_o : out std_ulogic -- data output valid
791
    );
792
  end component;
793
 
794
  -- Component: CPU Bus Interface -----------------------------------------------------------
795
  -- -------------------------------------------------------------------------------------------
796
  component neorv32_cpu_bus
797
    generic (
798 40 zero_gravi
      CPU_EXTENSION_RISCV_C : boolean := true;  -- implement compressed extension?
799 15 zero_gravi
      -- Physical memory protection (PMP) --
800 41 zero_gravi
      PMP_USE               : boolean := false; -- implement physical memory protection?
801
      -- Bus Timeout --
802
      BUS_TIMEOUT           : natural := 63     -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
803 2 zero_gravi
    );
804
    port (
805
      -- global control --
806 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
807 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
808 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
809
      -- cpu instruction fetch interface --
810
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
811
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
812
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
813
      --
814
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
815
      be_instr_o     : out std_ulogic; -- bus error on instruction access
816
      -- cpu data access interface --
817
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
818
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
819
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
820
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
821
      d_wait_o       : out std_ulogic; -- wait for access to complete
822
      --
823
      ma_load_o      : out std_ulogic; -- misaligned load data address
824
      ma_store_o     : out std_ulogic; -- misaligned store data address
825
      be_load_o      : out std_ulogic; -- bus error on load data access
826
      be_store_o     : out std_ulogic; -- bus error on store data access
827 15 zero_gravi
      -- physical memory protection --
828
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
829
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
830 12 zero_gravi
      -- instruction bus --
831
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
832
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
833
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
834
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
835
      i_bus_we_o     : out std_ulogic; -- write enable
836
      i_bus_re_o     : out std_ulogic; -- read enable
837
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
838
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
839
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
840
      i_bus_fence_o  : out std_ulogic; -- fence operation
841 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
842 12 zero_gravi
      -- data bus --
843
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
844
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
845
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
846
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
847
      d_bus_we_o     : out std_ulogic; -- write enable
848
      d_bus_re_o     : out std_ulogic; -- read enable
849
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
850
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
851
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
852 39 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- fence operation
853
      d_bus_lock_o   : out std_ulogic  -- locked/exclusive access
854 2 zero_gravi
    );
855
  end component;
856
 
857 41 zero_gravi
  -- Component: CPU Cache -------------------------------------------------------------------
858
  -- -------------------------------------------------------------------------------------------
859
  component neorv32_cache
860
    generic (
861
      CACHE_NUM_BLOCKS : natural := 4; -- number of blocks (min 1), has to be a power of 2
862
      CACHE_BLOCK_SIZE : natural := 16 -- block size in bytes (min 4), has to be a power of 2
863
    );
864
    port (
865
      -- global control --
866
      clk_i         : in  std_ulogic; -- global clock, rising edge
867
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
868
      clear_i       : in  std_ulogic; -- cache clear
869
      -- host controller interface --
870
      host_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
871
      host_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
872
      host_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
873
      host_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
874
      host_we_i     : in  std_ulogic; -- write enable
875
      host_re_i     : in  std_ulogic; -- read enable
876
      host_cancel_i : in  std_ulogic; -- cancel current bus transaction
877
      host_lock_i   : in  std_ulogic; -- locked/exclusive access
878
      host_ack_o    : out std_ulogic; -- bus transfer acknowledge
879
      host_err_o    : out std_ulogic; -- bus transfer error
880
      -- peripheral bus interface --
881
      bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
882
      bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
883
      bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
884
      bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
885
      bus_we_o      : out std_ulogic; -- write enable
886
      bus_re_o      : out std_ulogic; -- read enable
887
      bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
888
      bus_lock_o    : out std_ulogic; -- locked/exclusive access
889
      bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
890
      bus_err_i     : in  std_ulogic  -- bus transfer error
891
    );
892
  end component;
893
 
894 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
895
  -- -------------------------------------------------------------------------------------------
896
  component neorv32_busswitch
897
    generic (
898
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
899
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
900
    );
901
    port (
902
      -- global control --
903
      clk_i           : in  std_ulogic; -- global clock, rising edge
904
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
905
      -- controller interface a --
906
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
907
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
908
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
909
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
910
      ca_bus_we_i     : in  std_ulogic; -- write enable
911
      ca_bus_re_i     : in  std_ulogic; -- read enable
912
      ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
913 39 zero_gravi
      ca_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
914 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
915
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
916
      -- controller interface b --
917
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
918
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
919
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
920
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
921
      cb_bus_we_i     : in  std_ulogic; -- write enable
922
      cb_bus_re_i     : in  std_ulogic; -- read enable
923
      cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
924 39 zero_gravi
      cb_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
925 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
926
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
927
      -- peripheral bus --
928 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
929 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
930
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
931
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
932
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
933
      p_bus_we_o      : out std_ulogic; -- write enable
934
      p_bus_re_o      : out std_ulogic; -- read enable
935
      p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
936 39 zero_gravi
      p_bus_lock_o    : out std_ulogic; -- locked/exclusive access
937 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
938
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
939
    );
940
  end component;
941
 
942 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
943
  -- -------------------------------------------------------------------------------------------
944
  component neorv32_cpu_decompressor
945
    port (
946
      -- instruction input --
947
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
948
      -- instruction output --
949
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
950
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
951
    );
952
  end component;
953
 
954
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
955
  -- -------------------------------------------------------------------------------------------
956
  component neorv32_imem
957
    generic (
958
      IMEM_BASE      : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
959
      IMEM_SIZE      : natural := 4*1024; -- processor-internal instruction memory size in bytes
960
      IMEM_AS_ROM    : boolean := false;  -- implement IMEM as read-only memory?
961
      BOOTLOADER_USE : boolean := true    -- implement and use bootloader?
962
    );
963
    port (
964
      clk_i  : in  std_ulogic; -- global clock line
965
      rden_i : in  std_ulogic; -- read enable
966
      wren_i : in  std_ulogic; -- write enable
967
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
968
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
969
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
970
      data_o : out std_ulogic_vector(31 downto 0); -- data out
971
      ack_o  : out std_ulogic -- transfer acknowledge
972
    );
973
  end component;
974
 
975
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
976
  -- -------------------------------------------------------------------------------------------
977
  component neorv32_dmem
978
    generic (
979
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
980
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
981
    );
982
    port (
983
      clk_i  : in  std_ulogic; -- global clock line
984
      rden_i : in  std_ulogic; -- read enable
985
      wren_i : in  std_ulogic; -- write enable
986
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
987
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
988
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
989
      data_o : out std_ulogic_vector(31 downto 0); -- data out
990
      ack_o  : out std_ulogic -- transfer acknowledge
991
    );
992
  end component;
993
 
994
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
995
  -- -------------------------------------------------------------------------------------------
996
  component neorv32_boot_rom
997 23 zero_gravi
    generic (
998
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000"; -- boot ROM base address
999
      BOOTROM_SIZE : natural := 4*1024  -- processor-internal boot ROM memory size in bytes
1000
    );
1001 2 zero_gravi
    port (
1002
      clk_i  : in  std_ulogic; -- global clock line
1003
      rden_i : in  std_ulogic; -- read enable
1004
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1005
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1006
      ack_o  : out std_ulogic -- transfer acknowledge
1007
    );
1008
  end component;
1009
 
1010
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1011
  -- -------------------------------------------------------------------------------------------
1012
  component neorv32_mtime
1013
    port (
1014
      -- host access --
1015
      clk_i     : in  std_ulogic; -- global clock line
1016 4 zero_gravi
      rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
1017 2 zero_gravi
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1018
      rden_i    : in  std_ulogic; -- read enable
1019
      wren_i    : in  std_ulogic; -- write enable
1020
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1021
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1022
      ack_o     : out std_ulogic; -- transfer acknowledge
1023 11 zero_gravi
      -- time output for CPU --
1024
      time_o    : out std_ulogic_vector(63 downto 0); -- current system time
1025 2 zero_gravi
      -- interrupt --
1026
      irq_o     : out std_ulogic  -- interrupt request
1027
    );
1028
  end component;
1029
 
1030
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1031
  -- -------------------------------------------------------------------------------------------
1032
  component neorv32_gpio
1033
    port (
1034
      -- host access --
1035
      clk_i  : in  std_ulogic; -- global clock line
1036
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1037
      rden_i : in  std_ulogic; -- read enable
1038
      wren_i : in  std_ulogic; -- write enable
1039
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1040
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1041
      ack_o  : out std_ulogic; -- transfer acknowledge
1042
      -- parallel io --
1043 22 zero_gravi
      gpio_o : out std_ulogic_vector(31 downto 0);
1044
      gpio_i : in  std_ulogic_vector(31 downto 0);
1045 2 zero_gravi
      -- interrupt --
1046
      irq_o  : out std_ulogic
1047
    );
1048
  end component;
1049
 
1050
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1051
  -- -------------------------------------------------------------------------------------------
1052
  component neorv32_wdt
1053
    port (
1054
      -- host access --
1055
      clk_i       : in  std_ulogic; -- global clock line
1056
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1057
      rden_i      : in  std_ulogic; -- read enable
1058
      wren_i      : in  std_ulogic; -- write enable
1059
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1060
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1061
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1062
      ack_o       : out std_ulogic; -- transfer acknowledge
1063
      -- clock generator --
1064
      clkgen_en_o : out std_ulogic; -- enable clock generator
1065
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1066
      -- timeout event --
1067
      irq_o       : out std_ulogic; -- timeout IRQ
1068
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1069
    );
1070
  end component;
1071
 
1072
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1073
  -- -------------------------------------------------------------------------------------------
1074
  component neorv32_uart
1075
    port (
1076
      -- host access --
1077
      clk_i       : in  std_ulogic; -- global clock line
1078
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1079
      rden_i      : in  std_ulogic; -- read enable
1080
      wren_i      : in  std_ulogic; -- write enable
1081
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1082
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1083
      ack_o       : out std_ulogic; -- transfer acknowledge
1084
      -- clock generator --
1085
      clkgen_en_o : out std_ulogic; -- enable clock generator
1086
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1087
      -- com lines --
1088
      uart_txd_o  : out std_ulogic;
1089
      uart_rxd_i  : in  std_ulogic;
1090
      -- interrupts --
1091
      uart_irq_o  : out std_ulogic  -- uart rx/tx interrupt
1092
    );
1093
  end component;
1094
 
1095
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1096
  -- -------------------------------------------------------------------------------------------
1097
  component neorv32_spi
1098
    port (
1099
      -- host access --
1100
      clk_i       : in  std_ulogic; -- global clock line
1101
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1102
      rden_i      : in  std_ulogic; -- read enable
1103
      wren_i      : in  std_ulogic; -- write enable
1104
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1105
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1106
      ack_o       : out std_ulogic; -- transfer acknowledge
1107
      -- clock generator --
1108
      clkgen_en_o : out std_ulogic; -- enable clock generator
1109
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1110
      -- com lines --
1111 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1112
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1113
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1114 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1115
      -- interrupt --
1116
      spi_irq_o   : out std_ulogic -- transmission done interrupt
1117
    );
1118
  end component;
1119
 
1120
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1121
  -- -------------------------------------------------------------------------------------------
1122
  component neorv32_twi
1123
    port (
1124
      -- host access --
1125
      clk_i       : in  std_ulogic; -- global clock line
1126
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1127
      rden_i      : in  std_ulogic; -- read enable
1128
      wren_i      : in  std_ulogic; -- write enable
1129
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1130
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1131
      ack_o       : out std_ulogic; -- transfer acknowledge
1132
      -- clock generator --
1133
      clkgen_en_o : out std_ulogic; -- enable clock generator
1134
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1135
      -- com lines --
1136
      twi_sda_io  : inout std_logic; -- serial data line
1137
      twi_scl_io  : inout std_logic; -- serial clock line
1138
      -- interrupt --
1139
      twi_irq_o   : out std_ulogic -- transfer done IRQ
1140
    );
1141
  end component;
1142
 
1143
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1144
  -- -------------------------------------------------------------------------------------------
1145
  component neorv32_pwm
1146
    port (
1147
      -- host access --
1148
      clk_i       : in  std_ulogic; -- global clock line
1149
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1150
      rden_i      : in  std_ulogic; -- read enable
1151
      wren_i      : in  std_ulogic; -- write enable
1152
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1153
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1154
      ack_o       : out std_ulogic; -- transfer acknowledge
1155
      -- clock generator --
1156
      clkgen_en_o : out std_ulogic; -- enable clock generator
1157
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1158
      -- pwm output channels --
1159
      pwm_o       : out std_ulogic_vector(03 downto 0)
1160
    );
1161
  end component;
1162
 
1163
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1164
  -- -------------------------------------------------------------------------------------------
1165
  component neorv32_trng
1166
    port (
1167
      -- host access --
1168
      clk_i  : in  std_ulogic; -- global clock line
1169
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1170
      rden_i : in  std_ulogic; -- read enable
1171
      wren_i : in  std_ulogic; -- write enable
1172
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1173
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1174
      ack_o  : out std_ulogic  -- transfer acknowledge
1175
    );
1176
  end component;
1177
 
1178
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1179
  -- -------------------------------------------------------------------------------------------
1180
  component neorv32_wishbone
1181
    generic (
1182 35 zero_gravi
      WB_PIPELINED_MODE : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode
1183 23 zero_gravi
      -- Internal instruction memory --
1184 35 zero_gravi
      MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
1185
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1186 23 zero_gravi
      -- Internal data memory --
1187 35 zero_gravi
      MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
1188
      MEM_INT_DMEM_SIZE : natural := 4*1024  -- size of processor-internal data memory in bytes
1189 2 zero_gravi
    );
1190
    port (
1191
      -- global control --
1192 39 zero_gravi
      clk_i     : in  std_ulogic; -- global clock line
1193
      rstn_i    : in  std_ulogic; -- global reset line, low-active
1194 2 zero_gravi
      -- host access --
1195 39 zero_gravi
      src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
1196
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1197
      rden_i    : in  std_ulogic; -- read enable
1198
      wren_i    : in  std_ulogic; -- write enable
1199
      ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
1200
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1201
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1202
      cancel_i  : in  std_ulogic; -- cancel current bus transaction
1203
      lock_i    : in  std_ulogic; -- locked/exclusive bus access
1204
      ack_o     : out std_ulogic; -- transfer acknowledge
1205
      err_o     : out std_ulogic; -- transfer error
1206
      priv_i    : in  std_ulogic_vector(1 downto 0); -- current CPU privilege level
1207 2 zero_gravi
      -- wishbone interface --
1208 39 zero_gravi
      wb_tag_o  : out std_ulogic_vector(2 downto 0); -- tag
1209
      wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
1210
      wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
1211
      wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
1212
      wb_we_o   : out std_ulogic; -- read/write
1213
      wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
1214
      wb_stb_o  : out std_ulogic; -- strobe
1215
      wb_cyc_o  : out std_ulogic; -- valid cycle
1216
      wb_lock_o : out std_ulogic; -- locked/exclusive bus access
1217
      wb_ack_i  : in  std_ulogic; -- transfer acknowledge
1218
      wb_err_i  : in  std_ulogic  -- transfer error
1219 2 zero_gravi
    );
1220
  end component;
1221
 
1222 34 zero_gravi
  -- Component: Custom Functions Unit 0 (CFU0) ----------------------------------------------
1223 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1224 34 zero_gravi
  component neorv32_cfu0
1225 23 zero_gravi
    port (
1226
      -- host access --
1227
      clk_i       : in  std_ulogic; -- global clock line
1228
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1229
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1230
      rden_i      : in  std_ulogic; -- read enable
1231
      wren_i      : in  std_ulogic; -- write enable
1232
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1233
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1234
      ack_o       : out std_ulogic; -- transfer acknowledge
1235
      -- clock generator --
1236
      clkgen_en_o : out std_ulogic; -- enable clock generator
1237 34 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0) -- "clock" inputs
1238 23 zero_gravi
      -- custom io --
1239
      -- ...
1240
    );
1241
  end component;
1242
 
1243 34 zero_gravi
  -- Component: Custom Functions Unit 1 (CFU1) ----------------------------------------------
1244
  -- -------------------------------------------------------------------------------------------
1245
  component neorv32_cfu1
1246
    port (
1247
      -- host access --
1248
      clk_i       : in  std_ulogic; -- global clock line
1249
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1250
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1251
      rden_i      : in  std_ulogic; -- read enable
1252
      wren_i      : in  std_ulogic; -- write enable
1253
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1254
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1255
      ack_o       : out std_ulogic; -- transfer acknowledge
1256
      -- clock generator --
1257
      clkgen_en_o : out std_ulogic; -- enable clock generator
1258
      clkgen_i    : in  std_ulogic_vector(07 downto 0) -- "clock" inputs
1259
      -- custom io --
1260
      -- ...
1261
    );
1262
  end component;
1263
 
1264 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1265
  -- -------------------------------------------------------------------------------------------
1266 12 zero_gravi
  component neorv32_sysinfo
1267
    generic (
1268
      -- General --
1269 41 zero_gravi
      CLOCK_FREQUENCY      : natural := 0;      -- clock frequency of clk_i in Hz
1270
      BOOTLOADER_USE       : boolean := true;   -- implement processor-internal bootloader?
1271
      USER_CODE            : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1272 23 zero_gravi
      -- Internal Instruction memory --
1273 41 zero_gravi
      MEM_INT_IMEM_USE     : boolean := true;   -- implement processor-internal instruction memory
1274
      MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1275
      MEM_INT_IMEM_ROM     : boolean := false;  -- implement processor-internal instruction memory as ROM
1276 23 zero_gravi
      -- Internal Data memory --
1277 41 zero_gravi
      MEM_INT_DMEM_USE     : boolean := true;   -- implement processor-internal data memory
1278
      MEM_INT_DMEM_SIZE    : natural := 4*1024; -- size of processor-internal data memory in bytes
1279
      -- Internal Cache memory --
1280
      ICACHE_USE           : boolean := true;   -- implement instruction cache
1281
      ICACHE_NUM_BLOCKS    : natural := 4;      -- i-cache: number of blocks (min 2), has to be a power of 2
1282
      ICACHE_BLOCK_SIZE    : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
1283
      ICACHE_ASSOCIATIVITY : natural := 1;      -- i-cache: associativity (min 1), has to be a power 2
1284 23 zero_gravi
      -- External memory interface --
1285 41 zero_gravi
      MEM_EXT_USE          : boolean := false;  -- implement external memory bus interface?
1286 12 zero_gravi
      -- Processor peripherals --
1287 41 zero_gravi
      IO_GPIO_USE          : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1288
      IO_MTIME_USE         : boolean := true;   -- implement machine system timer (MTIME)?
1289
      IO_UART_USE          : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
1290
      IO_SPI_USE           : boolean := true;   -- implement serial peripheral interface (SPI)?
1291
      IO_TWI_USE           : boolean := true;   -- implement two-wire interface (TWI)?
1292
      IO_PWM_USE           : boolean := true;   -- implement pulse-width modulation unit (PWM)?
1293
      IO_WDT_USE           : boolean := true;   -- implement watch dog timer (WDT)?
1294
      IO_TRNG_USE          : boolean := true;   -- implement true random number generator (TRNG)?
1295
      IO_CFU0_USE          : boolean := true;   -- implement custom functions unit 0 (CFU0)?
1296
      IO_CFU1_USE          : boolean := true    -- implement custom functions unit 1 (CFU1)?
1297 12 zero_gravi
    );
1298
    port (
1299
      -- host access --
1300
      clk_i  : in  std_ulogic; -- global clock line
1301
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1302
      rden_i : in  std_ulogic; -- read enable
1303
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1304
      ack_o  : out std_ulogic  -- transfer acknowledge
1305
    );
1306
  end component;
1307
 
1308 2 zero_gravi
end neorv32_package;
1309
 
1310
package body neorv32_package is
1311
 
1312 41 zero_gravi
  -- Function: Minimal required number of bits to represent input number --------------------
1313 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1314
  function index_size_f(input : natural) return natural is
1315
  begin
1316
    for i in 0 to natural'high loop
1317
      if (2**i >= input) then
1318
        return i;
1319
      end if;
1320
    end loop; -- i
1321
    return 0;
1322
  end function index_size_f;
1323
 
1324
  -- Function: Conditional select natural ---------------------------------------------------
1325
  -- -------------------------------------------------------------------------------------------
1326
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
1327
  begin
1328
    if (cond = true) then
1329
      return val_t;
1330
    else
1331
      return val_f;
1332
    end if;
1333
  end function cond_sel_natural_f;
1334
 
1335
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
1336
  -- -------------------------------------------------------------------------------------------
1337
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
1338
  begin
1339
    if (cond = true) then
1340
      return val_t;
1341
    else
1342
      return val_f;
1343
    end if;
1344
  end function cond_sel_stdulogicvector_f;
1345
 
1346
  -- Function: Convert BOOL to STD_ULOGIC ---------------------------------------------------
1347
  -- -------------------------------------------------------------------------------------------
1348
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
1349
  begin
1350
    if (cond = true) then
1351
      return '1';
1352
    else
1353
      return '0';
1354
    end if;
1355
  end function bool_to_ulogic_f;
1356
 
1357
  -- Function: OR all bits ------------------------------------------------------------------
1358
  -- -------------------------------------------------------------------------------------------
1359
  function or_all_f(a : std_ulogic_vector) return std_ulogic is
1360
    variable tmp_v : std_ulogic;
1361
  begin
1362
    tmp_v := a(a'low);
1363 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1364
      for i in a'low+1 to a'high loop
1365
        tmp_v := tmp_v or a(i);
1366
      end loop; -- i
1367
    end if;
1368 2 zero_gravi
    return tmp_v;
1369
  end function or_all_f;
1370
 
1371
  -- Function: AND all bits -----------------------------------------------------------------
1372
  -- -------------------------------------------------------------------------------------------
1373
  function and_all_f(a : std_ulogic_vector) return std_ulogic is
1374
    variable tmp_v : std_ulogic;
1375
  begin
1376
    tmp_v := a(a'low);
1377 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1378
      for i in a'low+1 to a'high loop
1379
        tmp_v := tmp_v and a(i);
1380
      end loop; -- i
1381
    end if;
1382 2 zero_gravi
    return tmp_v;
1383
  end function and_all_f;
1384
 
1385
  -- Function: XOR all bits -----------------------------------------------------------------
1386
  -- -------------------------------------------------------------------------------------------
1387
  function xor_all_f(a : std_ulogic_vector) return std_ulogic is
1388
    variable tmp_v : std_ulogic;
1389
  begin
1390
    tmp_v := a(a'low);
1391 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1392
      for i in a'low+1 to a'high loop
1393
        tmp_v := tmp_v xor a(i);
1394
      end loop; -- i
1395
    end if;
1396 2 zero_gravi
    return tmp_v;
1397
  end function xor_all_f;
1398
 
1399
  -- Function: XNOR all bits ----------------------------------------------------------------
1400
  -- -------------------------------------------------------------------------------------------
1401
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic is
1402
    variable tmp_v : std_ulogic;
1403
  begin
1404
    tmp_v := a(a'low);
1405 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1406
      for i in a'low+1 to a'high loop
1407
        tmp_v := tmp_v xnor a(i);
1408
      end loop; -- i
1409
    end if;
1410 2 zero_gravi
    return tmp_v;
1411
  end function xnor_all_f;
1412
 
1413 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
1414 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1415
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
1416
    variable output_v : character;
1417
  begin
1418
    case input is
1419 7 zero_gravi
      when x"0"   => output_v := '0';
1420
      when x"1"   => output_v := '1';
1421
      when x"2"   => output_v := '2';
1422
      when x"3"   => output_v := '3';
1423
      when x"4"   => output_v := '4';
1424
      when x"5"   => output_v := '5';
1425
      when x"6"   => output_v := '6';
1426
      when x"7"   => output_v := '7';
1427
      when x"8"   => output_v := '8';
1428
      when x"9"   => output_v := '9';
1429
      when x"a"   => output_v := 'a';
1430
      when x"b"   => output_v := 'b';
1431
      when x"c"   => output_v := 'c';
1432
      when x"d"   => output_v := 'd';
1433
      when x"e"   => output_v := 'e';
1434
      when x"f"   => output_v := 'f';
1435 6 zero_gravi
      when others => output_v := '?';
1436
    end case;
1437
    return output_v;
1438
  end function to_hexchar_f;
1439
 
1440 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
1441
  -- -------------------------------------------------------------------------------------------
1442
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
1443
    variable hex_value_v : std_ulogic_vector(3 downto 0);
1444
  begin
1445
    case input is
1446
      when '0'       => hex_value_v := x"0";
1447
      when '1'       => hex_value_v := x"1";
1448
      when '2'       => hex_value_v := x"2";
1449
      when '3'       => hex_value_v := x"3";
1450
      when '4'       => hex_value_v := x"4";
1451
      when '5'       => hex_value_v := x"5";
1452
      when '6'       => hex_value_v := x"6";
1453
      when '7'       => hex_value_v := x"7";
1454
      when '8'       => hex_value_v := x"8";
1455
      when '9'       => hex_value_v := x"9";
1456
      when 'a' | 'A' => hex_value_v := x"a";
1457
      when 'b' | 'B' => hex_value_v := x"b";
1458
      when 'c' | 'C' => hex_value_v := x"c";
1459
      when 'd' | 'D' => hex_value_v := x"d";
1460
      when 'e' | 'E' => hex_value_v := x"e";
1461
      when 'f' | 'F' => hex_value_v := x"f";
1462
      when others    => hex_value_v := (others => 'X');
1463
    end case;
1464
    return hex_value_v;
1465
  end function hexchar_to_stdulogicvector_f;
1466
 
1467 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
1468
  -- -------------------------------------------------------------------------------------------
1469
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
1470
    variable output_v : std_ulogic_vector(input'range);
1471
  begin
1472
    for i in 0 to input'length-1 loop
1473
      output_v(input'length-i-1) := input(i);
1474
    end loop; -- i
1475
    return output_v;
1476
  end function bit_rev_f;
1477
 
1478 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
1479
  -- -------------------------------------------------------------------------------------------
1480
  function is_power_of_two_f(input : natural) return boolean is
1481
  begin
1482 38 zero_gravi
    if (input = 1) then -- 2^0
1483 36 zero_gravi
      return true;
1484 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
1485
      return true;
1486 36 zero_gravi
    else
1487
      return false;
1488
    end if;
1489
  end function is_power_of_two_f;
1490
 
1491 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
1492
  -- -------------------------------------------------------------------------------------------
1493
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
1494
    variable output_v : std_ulogic_vector(input'range);
1495
  begin
1496
    output_v(07 downto 00) := input(31 downto 24);
1497
    output_v(15 downto 08) := input(23 downto 16);
1498
    output_v(23 downto 16) := input(15 downto 08);
1499
    output_v(31 downto 24) := input(07 downto 00);
1500
    return output_v;
1501
  end function bswap32_f;
1502
 
1503 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.