OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 53

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- (external) bus interface --
48 41 zero_gravi
  constant bus_timeout_c     : natural := 127; -- cycles after which an *unacknowledged* bus access will timeout and trigger a bus fault exception (min 2)
49 40 zero_gravi
  constant wb_pipe_mode_c    : boolean := false; -- *external* bus protocol: false=classic/standard wishbone mode (default), true=pipelined wishbone mode
50
  constant xbus_big_endian_c : boolean := true; -- external memory access byte order: true=big endian (default); false=little endian
51
 
52
  -- CPU core --
53 41 zero_gravi
  constant ipb_entries_c : natural := 2; -- entries in CPU instruction prefetch buffer, has to be a power of 2, default=2
54 40 zero_gravi
 
55 47 zero_gravi
  -- "critical" number of PMP regions --
56
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically
57
  -- inserted into the memory interfaces increasing instruction fetch & data access latency by +1 cycle!
58
  constant pmp_num_regions_critical_c : natural := 8;
59
 
60 48 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
61 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
62 47 zero_gravi
  constant data_width_c   : natural := 32; -- native data path width - do not change!
63 53 zero_gravi
  constant hw_version_c   : std_ulogic_vector(31 downto 0) := x"01050207"; -- no touchy!
64 40 zero_gravi
  constant pmp_max_r_c    : natural := 8; -- max PMP regions - FIXED!
65
  constant archid_c       : natural := 19; -- official NEORV32 architecture ID - hands off!
66 42 zero_gravi
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a *physical register* that has to be initialized to zero by the CPU HW
67 27 zero_gravi
 
68 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
69 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
70
  function index_size_f(input : natural) return natural;
71
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
72
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
73 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
74 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
75 15 zero_gravi
  function or_all_f(a : std_ulogic_vector) return std_ulogic;
76
  function and_all_f(a : std_ulogic_vector) return std_ulogic;
77
  function xor_all_f(a : std_ulogic_vector) return std_ulogic;
78 2 zero_gravi
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic;
79 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
80 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
81 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
82 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
83 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
84 2 zero_gravi
 
85 15 zero_gravi
  -- Internal Types -------------------------------------------------------------------------
86
  -- -------------------------------------------------------------------------------------------
87 42 zero_gravi
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
88
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
89 49 zero_gravi
  type cp_data_if_t  is array (0 to 7)  of std_ulogic_vector(data_width_c-1 downto 0);
90 15 zero_gravi
 
91 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
92
  -- -------------------------------------------------------------------------------------------
93 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
94 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
95
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
96 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
97 2 zero_gravi
 
98 23 zero_gravi
  -- Internal Bootloader ROM --
99
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFF0000"; -- bootloader base address, fixed!
100 47 zero_gravi
  constant boot_rom_size_c      : natural := 4*1024; -- module's address space in bytes
101
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space in bytes, fixed!
102 23 zero_gravi
 
103 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
104
  -- Control register(s) (including the device-enable) should be located at the base address of each device
105 47 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF00";
106
  constant io_size_c            : natural := 64*4; -- module's address space in bytes, fixed!
107 2 zero_gravi
 
108 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
109
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF00"; -- base address
110
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
111
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF00";
112
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF04";
113
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF08";
114
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF0C";
115
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF10";
116
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF14";
117
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF18";
118
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF1C";
119
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF20";
120
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF24";
121
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF28";
122
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF2C";
123
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF30";
124
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF34";
125
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF38";
126
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF3C";
127
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF40";
128
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF44";
129
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF48";
130
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF4C";
131
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF50";
132
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF54";
133
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF58";
134
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF5C";
135
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF60";
136
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF64";
137
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF68";
138
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF6C";
139
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF70";
140
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF74";
141
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF78";
142
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF7C";
143
 
144 2 zero_gravi
  -- General Purpose Input/Output Unit (GPIO) --
145 47 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80"; -- base address
146
  constant gpio_size_c          : natural := 2*4; -- module's address space in bytes
147 23 zero_gravi
  constant gpio_in_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
148
  constant gpio_out_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF84";
149 2 zero_gravi
 
150 30 zero_gravi
  -- True Random Number Generator (TRNG) --
151 47 zero_gravi
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88"; -- base address
152
  constant trng_size_c          : natural := 1*4; -- module's address space in bytes
153 30 zero_gravi
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88";
154 2 zero_gravi
 
155
  -- Watch Dog Timer (WDT) --
156 47 zero_gravi
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C"; -- base address
157
  constant wdt_size_c           : natural := 1*4; -- module's address space in bytes
158 23 zero_gravi
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C";
159 2 zero_gravi
 
160
  -- Machine System Timer (MTIME) --
161 47 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90"; -- base address
162
  constant mtime_size_c         : natural := 4*4; -- module's address space in bytes
163 23 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90";
164
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF94";
165
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF98";
166
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF9C";
167 2 zero_gravi
 
168 50 zero_gravi
  -- Universal Asynchronous Receiver/Transmitter 0 (UART0), primary UART --
169
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0"; -- base address
170
  constant uart0_size_c         : natural := 2*4; -- module's address space in bytes
171
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0";
172
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA4";
173 2 zero_gravi
 
174
  -- Serial Peripheral Interface (SPI) --
175 47 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8"; -- base address
176
  constant spi_size_c           : natural := 2*4; -- module's address space in bytes
177 23 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8";
178
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFAC";
179 2 zero_gravi
 
180
  -- Two Wire Interface (TWI) --
181 47 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0"; -- base address
182
  constant twi_size_c           : natural := 2*4; -- module's address space in bytes
183 23 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0";
184
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB4";
185 2 zero_gravi
 
186
  -- Pulse-Width Modulation Controller (PWM) --
187 47 zero_gravi
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8"; -- base address
188
  constant pwm_size_c           : natural := 2*4; -- module's address space in bytes
189 23 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8";
190
  constant pwm_duty_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFBC";
191 2 zero_gravi
 
192 49 zero_gravi
  -- Numerically-Controlled Oscillator (NCO) --
193
  constant nco_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0"; -- base address
194
  constant nco_size_c           : natural := 4*4; -- module's address space in bytes
195
  constant nco_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0";
196
  constant nco_ch0_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC4";
197
  constant nco_ch1_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC8";
198
  constant nco_ch2_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFCC";
199
 
200 50 zero_gravi
  -- Universal Asynchronous Receiver/Transmitter 1 (UART1), secondary UART --
201
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0"; -- base address
202
  constant uart1_size_c         : natural := 2*4; -- module's address space in bytes
203
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0";
204
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD4";
205
 
206 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
207
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8"; -- base address
208
  constant neoled_size_c        : natural := 2*4; -- module's address space in bytes
209
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8";
210
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFDC";
211 12 zero_gravi
 
212 23 zero_gravi
  -- System Information Memory (SYSINFO) --
213 47 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFE0"; -- base address
214
  constant sysinfo_size_c       : natural := 8*4; -- module's address space in bytes
215 12 zero_gravi
 
216 2 zero_gravi
  -- Main Control Bus -----------------------------------------------------------------------
217
  -- -------------------------------------------------------------------------------------------
218
  -- register file --
219 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
220
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
221
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
222
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
223
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
224
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
225
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
226
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
227
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
228
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
229
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
230
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destiantion register address bit 0
231
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destiantion register address bit 1
232
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destiantion register address bit 2
233
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destiantion register address bit 3
234
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destiantion register address bit 4
235
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
236
  constant ctrl_rf_r0_we_c      : natural := 17; -- force write access and force rd=r0
237 2 zero_gravi
  -- alu --
238 49 zero_gravi
  constant ctrl_alu_arith_c     : natural := 18; -- ALU arithmetic command
239
  constant ctrl_alu_logic0_c    : natural := 19; -- ALU logic command bit 0
240
  constant ctrl_alu_logic1_c    : natural := 20; -- ALU logic command bit 1
241
  constant ctrl_alu_func0_c     : natural := 21; -- ALU function select command bit 0
242
  constant ctrl_alu_func1_c     : natural := 22; -- ALU function select command bit 1
243
  constant ctrl_alu_addsub_c    : natural := 23; -- 0=ADD, 1=SUB
244
  constant ctrl_alu_opa_mux_c   : natural := 24; -- operand A select (0=rs1, 1=PC)
245
  constant ctrl_alu_opb_mux_c   : natural := 25; -- operand B select (0=rs2, 1=IMM)
246
  constant ctrl_alu_unsigned_c  : natural := 26; -- is unsigned ALU operation
247
  constant ctrl_alu_shift_dir_c : natural := 27; -- shift direction (0=left, 1=right)
248
  constant ctrl_alu_shift_ar_c  : natural := 28; -- is arithmetic shift
249 2 zero_gravi
  -- bus interface --
250 49 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 29; -- transfer size lsb (00=byte, 01=half-word)
251
  constant ctrl_bus_size_msb_c  : natural := 30; -- transfer size msb (10=word, 11=?)
252
  constant ctrl_bus_rd_c        : natural := 31; -- read data request
253
  constant ctrl_bus_wr_c        : natural := 32; -- write data request
254
  constant ctrl_bus_if_c        : natural := 33; -- instruction fetch request
255
  constant ctrl_bus_mo_we_c     : natural := 34; -- memory address and data output register write enable
256
  constant ctrl_bus_mi_we_c     : natural := 35; -- memory data input register write enable
257 53 zero_gravi
  constant ctrl_bus_unsigned_c  : natural := 36; -- is unsigned load
258
  constant ctrl_bus_ierr_ack_c  : natural := 37; -- acknowledge instruction fetch bus exceptions
259
  constant ctrl_bus_derr_ack_c  : natural := 38; -- acknowledge data access bus exceptions
260
  constant ctrl_bus_fence_c     : natural := 39; -- executed fence operation
261
  constant ctrl_bus_fencei_c    : natural := 40; -- executed fencei operation
262
  constant ctrl_bus_excl_c      : natural := 41; -- exclusive bus access
263 26 zero_gravi
  -- co-processors --
264 53 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 42; -- cp select ID lsb
265
  constant ctrl_cp_id_hsb_c     : natural := 43; -- cp select ID hsb
266
  constant ctrl_cp_id_msb_c     : natural := 44; -- cp select ID msb
267 36 zero_gravi
  -- current privilege level --
268 53 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 45; -- privilege level lsb
269
  constant ctrl_priv_lvl_msb_c  : natural := 46; -- privilege level msb
270 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
271 53 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 47; -- funct3 bit 0
272
  constant ctrl_ir_funct3_1_c   : natural := 48; -- funct3 bit 1
273
  constant ctrl_ir_funct3_2_c   : natural := 49; -- funct3 bit 2
274
  constant ctrl_ir_funct12_0_c  : natural := 50; -- funct12 bit 0
275
  constant ctrl_ir_funct12_1_c  : natural := 51; -- funct12 bit 1
276
  constant ctrl_ir_funct12_2_c  : natural := 52; -- funct12 bit 2
277
  constant ctrl_ir_funct12_3_c  : natural := 53; -- funct12 bit 3
278
  constant ctrl_ir_funct12_4_c  : natural := 54; -- funct12 bit 4
279
  constant ctrl_ir_funct12_5_c  : natural := 55; -- funct12 bit 5
280
  constant ctrl_ir_funct12_6_c  : natural := 56; -- funct12 bit 6
281
  constant ctrl_ir_funct12_7_c  : natural := 57; -- funct12 bit 7
282
  constant ctrl_ir_funct12_8_c  : natural := 58; -- funct12 bit 8
283
  constant ctrl_ir_funct12_9_c  : natural := 59; -- funct12 bit 9
284
  constant ctrl_ir_funct12_10_c : natural := 60; -- funct12 bit 10
285
  constant ctrl_ir_funct12_11_c : natural := 61; -- funct12 bit 11
286
  constant ctrl_ir_opcode7_0_c  : natural := 62; -- opcode7 bit 0
287
  constant ctrl_ir_opcode7_1_c  : natural := 63; -- opcode7 bit 1
288
  constant ctrl_ir_opcode7_2_c  : natural := 64; -- opcode7 bit 2
289
  constant ctrl_ir_opcode7_3_c  : natural := 65; -- opcode7 bit 3
290
  constant ctrl_ir_opcode7_4_c  : natural := 66; -- opcode7 bit 4
291
  constant ctrl_ir_opcode7_5_c  : natural := 67; -- opcode7 bit 5
292
  constant ctrl_ir_opcode7_6_c  : natural := 68; -- opcode7 bit 6
293 47 zero_gravi
  -- CPU status --
294 53 zero_gravi
  constant ctrl_sleep_c         : natural := 69; -- set when CPU is in sleep mode
295 2 zero_gravi
  -- control bus size --
296 53 zero_gravi
  constant ctrl_width_c         : natural := 70; -- control bus size
297 2 zero_gravi
 
298 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
299 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
300 47 zero_gravi
  constant cmp_equal_c : natural := 0;
301
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
302 2 zero_gravi
 
303
  -- RISC-V Opcode Layout -------------------------------------------------------------------
304
  -- -------------------------------------------------------------------------------------------
305
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
306
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
307
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
308
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
309
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
310
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
311
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
312
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
313
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
314
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
315
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
316
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
317
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
318
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
319
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
320
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
321
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
322
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
323
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
324
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
325 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
326
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
327 2 zero_gravi
 
328
  -- RISC-V Opcodes -------------------------------------------------------------------------
329
  -- -------------------------------------------------------------------------------------------
330
  -- alu --
331
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
332
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
333
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
334
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
335
  -- control flow --
336
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
337 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
338 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
339
  -- memory access --
340
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
341
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
342
  -- system/csr --
343 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
344 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
345 52 zero_gravi
  -- atomic memory access (A) --
346 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
347 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
348
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single opearand instruction
349 2 zero_gravi
 
350
  -- RISC-V Funct3 --------------------------------------------------------------------------
351
  -- -------------------------------------------------------------------------------------------
352
  -- control flow --
353
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
354
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
355
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
356
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
357
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
358
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
359
  -- memory access --
360
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
361
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
362
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
363
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
364
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
365
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
366
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
367
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
368
  -- alu --
369
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
370
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
371
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
372
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
373
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
374
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
375
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
376
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
377
  -- system/csr --
378
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi
379
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
380
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
381
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
382
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
383
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
384
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
385 8 zero_gravi
  -- fence --
386
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
387
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
388 2 zero_gravi
 
389 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
390 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
391
  -- system --
392
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
393
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
394
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
395
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
396
 
397 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
398
  -- -------------------------------------------------------------------------------------------
399
  -- atomic operations --
400
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
401
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
402
 
403 52 zero_gravi
  -- RISC-V Floating-Point Formats ----------------------------------------------------------
404
  -- -------------------------------------------------------------------------------------------
405
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precisions (32-bit)
406
  constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precisions (64-bit)
407
  constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precisions (16-bit)
408
  constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precisions (64-bit)
409
 
410 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
411
  -- -------------------------------------------------------------------------------------------
412 41 zero_gravi
  -- read/write CSRs --
413 52 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(07 downto 0) := x"00"; -- floating point
414
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
415
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
416
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
417
  --
418
  constant csr_setup_c          : std_ulogic_vector(07 downto 0) := x"30"; -- trap setup
419 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
420
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
421
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
422
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
423
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
424 52 zero_gravi
  --
425 42 zero_gravi
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
426 29 zero_gravi
  --
427 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
428 29 zero_gravi
  --
429 42 zero_gravi
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
430
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
431
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
432
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
433
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
434
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
435
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
436
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
437
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
438
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
439
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
440
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
441
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
442
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
443
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
444
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
445
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
446
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
447
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
448
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
449
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
450
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
451
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
452
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
453
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
454
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
455
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
456
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
457
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
458 29 zero_gravi
  --
459 52 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
460 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
461
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
462
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
463
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
464
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
465 29 zero_gravi
  --
466 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
467 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
468
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
469
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
470
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
471
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
472
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
473
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
474
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
475
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
476
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
477
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
478
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
479
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
480
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
481
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
482
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
483 29 zero_gravi
  --
484 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
485
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
486
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
487
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
488
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
489
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
490
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
491
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
492
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
493
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
494
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
495
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
496
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
497
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
498
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
499
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
500
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
501
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
502
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
503
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
504
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
505
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
506
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
507
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
508
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
509
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
510
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
511
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
512
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
513
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
514
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
515
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
516
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
517
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
518
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
519
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
520
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
521
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
522
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
523
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
524
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
525
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
526
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
527
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
528
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
529
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
530
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
531
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
532
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
533
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
534
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
535
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
536
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
537
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
538
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
539
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
540
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
541
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
542
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
543
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
544
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
545
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
546
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
547
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
548 29 zero_gravi
  --
549 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
550
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
551
  --
552
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
553
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
554
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
555
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
556
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
557
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
558
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
559
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
560
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
561
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
562
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
563
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
564
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
565
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
566
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
567
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
568
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
569
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
570
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
571
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
572
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
573
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
574
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
575
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
576
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
577
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
578
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
579
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
580
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
581
  --
582
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
583
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
584
  --
585
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
586
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
587
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
588
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
589
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
590
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
591
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
592
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
593
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
594
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
595
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
596
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
597
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
598
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
599
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
600
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
601
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
602
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
603
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
604
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
605
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
606
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
607
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
608
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
609
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
610
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
611
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
612
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
613
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
614
 
615 41 zero_gravi
  -- read-only CSRs --
616 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
617
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
618
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
619 29 zero_gravi
  --
620 42 zero_gravi
  constant csr_hpmcounter3_c    : std_ulogic_vector(11 downto 0) := x"c03";
621
  constant csr_hpmcounter4_c    : std_ulogic_vector(11 downto 0) := x"c04";
622
  constant csr_hpmcounter5_c    : std_ulogic_vector(11 downto 0) := x"c05";
623
  constant csr_hpmcounter6_c    : std_ulogic_vector(11 downto 0) := x"c06";
624
  constant csr_hpmcounter7_c    : std_ulogic_vector(11 downto 0) := x"c07";
625
  constant csr_hpmcounter8_c    : std_ulogic_vector(11 downto 0) := x"c08";
626
  constant csr_hpmcounter9_c    : std_ulogic_vector(11 downto 0) := x"c09";
627
  constant csr_hpmcounter10_c   : std_ulogic_vector(11 downto 0) := x"c0a";
628
  constant csr_hpmcounter11_c   : std_ulogic_vector(11 downto 0) := x"c0b";
629
  constant csr_hpmcounter12_c   : std_ulogic_vector(11 downto 0) := x"c0c";
630
  constant csr_hpmcounter13_c   : std_ulogic_vector(11 downto 0) := x"c0d";
631
  constant csr_hpmcounter14_c   : std_ulogic_vector(11 downto 0) := x"c0e";
632
  constant csr_hpmcounter15_c   : std_ulogic_vector(11 downto 0) := x"c0f";
633
  constant csr_hpmcounter16_c   : std_ulogic_vector(11 downto 0) := x"c10";
634
  constant csr_hpmcounter17_c   : std_ulogic_vector(11 downto 0) := x"c11";
635
  constant csr_hpmcounter18_c   : std_ulogic_vector(11 downto 0) := x"c12";
636
  constant csr_hpmcounter19_c   : std_ulogic_vector(11 downto 0) := x"c13";
637
  constant csr_hpmcounter20_c   : std_ulogic_vector(11 downto 0) := x"c14";
638
  constant csr_hpmcounter21_c   : std_ulogic_vector(11 downto 0) := x"c15";
639
  constant csr_hpmcounter22_c   : std_ulogic_vector(11 downto 0) := x"c16";
640
  constant csr_hpmcounter23_c   : std_ulogic_vector(11 downto 0) := x"c17";
641
  constant csr_hpmcounter24_c   : std_ulogic_vector(11 downto 0) := x"c18";
642
  constant csr_hpmcounter25_c   : std_ulogic_vector(11 downto 0) := x"c19";
643
  constant csr_hpmcounter26_c   : std_ulogic_vector(11 downto 0) := x"c1a";
644
  constant csr_hpmcounter27_c   : std_ulogic_vector(11 downto 0) := x"c1b";
645
  constant csr_hpmcounter28_c   : std_ulogic_vector(11 downto 0) := x"c1c";
646
  constant csr_hpmcounter29_c   : std_ulogic_vector(11 downto 0) := x"c1d";
647
  constant csr_hpmcounter30_c   : std_ulogic_vector(11 downto 0) := x"c1e";
648
  constant csr_hpmcounter31_c   : std_ulogic_vector(11 downto 0) := x"c1f";
649 29 zero_gravi
  --
650 42 zero_gravi
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
651
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
652
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
653 29 zero_gravi
  --
654 42 zero_gravi
  constant csr_hpmcounter3h_c   : std_ulogic_vector(11 downto 0) := x"c83";
655
  constant csr_hpmcounter4h_c   : std_ulogic_vector(11 downto 0) := x"c84";
656
  constant csr_hpmcounter5h_c   : std_ulogic_vector(11 downto 0) := x"c85";
657
  constant csr_hpmcounter6h_c   : std_ulogic_vector(11 downto 0) := x"c86";
658
  constant csr_hpmcounter7h_c   : std_ulogic_vector(11 downto 0) := x"c87";
659
  constant csr_hpmcounter8h_c   : std_ulogic_vector(11 downto 0) := x"c88";
660
  constant csr_hpmcounter9h_c   : std_ulogic_vector(11 downto 0) := x"c89";
661
  constant csr_hpmcounter10h_c  : std_ulogic_vector(11 downto 0) := x"c8a";
662
  constant csr_hpmcounter11h_c  : std_ulogic_vector(11 downto 0) := x"c8b";
663
  constant csr_hpmcounter12h_c  : std_ulogic_vector(11 downto 0) := x"c8c";
664
  constant csr_hpmcounter13h_c  : std_ulogic_vector(11 downto 0) := x"c8d";
665
  constant csr_hpmcounter14h_c  : std_ulogic_vector(11 downto 0) := x"c8e";
666
  constant csr_hpmcounter15h_c  : std_ulogic_vector(11 downto 0) := x"c8f";
667
  constant csr_hpmcounter16h_c  : std_ulogic_vector(11 downto 0) := x"c90";
668
  constant csr_hpmcounter17h_c  : std_ulogic_vector(11 downto 0) := x"c91";
669
  constant csr_hpmcounter18h_c  : std_ulogic_vector(11 downto 0) := x"c92";
670
  constant csr_hpmcounter19h_c  : std_ulogic_vector(11 downto 0) := x"c93";
671
  constant csr_hpmcounter20h_c  : std_ulogic_vector(11 downto 0) := x"c94";
672
  constant csr_hpmcounter21h_c  : std_ulogic_vector(11 downto 0) := x"c95";
673
  constant csr_hpmcounter22h_c  : std_ulogic_vector(11 downto 0) := x"c96";
674
  constant csr_hpmcounter23h_c  : std_ulogic_vector(11 downto 0) := x"c97";
675
  constant csr_hpmcounter24h_c  : std_ulogic_vector(11 downto 0) := x"c98";
676
  constant csr_hpmcounter25h_c  : std_ulogic_vector(11 downto 0) := x"c99";
677
  constant csr_hpmcounter26h_c  : std_ulogic_vector(11 downto 0) := x"c9a";
678
  constant csr_hpmcounter27h_c  : std_ulogic_vector(11 downto 0) := x"c9b";
679
  constant csr_hpmcounter28h_c  : std_ulogic_vector(11 downto 0) := x"c9c";
680
  constant csr_hpmcounter29h_c  : std_ulogic_vector(11 downto 0) := x"c9d";
681
  constant csr_hpmcounter30h_c  : std_ulogic_vector(11 downto 0) := x"c9e";
682
  constant csr_hpmcounter31h_c  : std_ulogic_vector(11 downto 0) := x"c9f";
683
  --
684
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
685
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
686
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
687
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
688 29 zero_gravi
 
689 42 zero_gravi
  -- custom read-only CSRs --
690
  constant csr_mzext_c          : std_ulogic_vector(11 downto 0) := x"fc0";
691
 
692 44 zero_gravi
  -- Co-Processor IDs -----------------------------------------------------------------------
693 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
694 49 zero_gravi
  constant cp_sel_muldiv_c   : std_ulogic_vector(2 downto 0) := "000"; -- multiplication/division operations ('M' extension)
695
  constant cp_sel_atomic_c   : std_ulogic_vector(2 downto 0) := "001"; -- atomic operations; success/failure evaluation ('A' extension)
696
  constant cp_sel_bitmanip_c : std_ulogic_vector(2 downto 0) := "010"; -- bit manipulation ('B' extension)
697
  constant cp_sel_csr_rd_c   : std_ulogic_vector(2 downto 0) := "011"; -- CSR read access ('Zicsr' extension)
698 53 zero_gravi
  constant cp_sel_fpu_c      : std_ulogic_vector(2 downto 0) := "100"; -- loating-point unit ('Zfinx' extension)
699
--constant cp_sel_crypto_c   : std_ulogic_vector(2 downto 0) := "101"; -- crypto operations ('K' extension)
700 52 zero_gravi
--constant cp_sel_reserved_c : std_ulogic_vector(2 downto 0) := "110"; -- reserved
701
--constant cp_sel_reserved_c : std_ulogic_vector(2 downto 0) := "111"; -- reserved
702 2 zero_gravi
 
703
  -- ALU Function Codes ---------------------------------------------------------------------
704
  -- -------------------------------------------------------------------------------------------
705 39 zero_gravi
  -- arithmetic core --
706
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
707
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
708
  -- logic core --
709
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
710
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
711
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
712
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
713
  -- function select (actual alu result) --
714
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
715
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
716
  constant alu_func_cmd_shift_c   : std_ulogic_vector(1 downto 0) := "10"; -- r <= A <</>> B (iterative)
717
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (iterative)
718 2 zero_gravi
 
719 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
720
  -- -------------------------------------------------------------------------------------------
721 48 zero_gravi
  -- RISC-V compliant sync. exceptions --
722
  constant trap_ima_c    : std_ulogic_vector(5 downto 0) := "0" & "00000"; -- 0.0:  instruction misaligned
723
  constant trap_iba_c    : std_ulogic_vector(5 downto 0) := "0" & "00001"; -- 0.1:  instruction access fault
724
  constant trap_iil_c    : std_ulogic_vector(5 downto 0) := "0" & "00010"; -- 0.2:  illegal instruction
725
  constant trap_brk_c    : std_ulogic_vector(5 downto 0) := "0" & "00011"; -- 0.3:  breakpoint
726
  constant trap_lma_c    : std_ulogic_vector(5 downto 0) := "0" & "00100"; -- 0.4:  load address misaligned
727
  constant trap_lbe_c    : std_ulogic_vector(5 downto 0) := "0" & "00101"; -- 0.5:  load access fault
728
  constant trap_sma_c    : std_ulogic_vector(5 downto 0) := "0" & "00110"; -- 0.6:  store address misaligned
729
  constant trap_sbe_c    : std_ulogic_vector(5 downto 0) := "0" & "00111"; -- 0.7:  store access fault
730
  constant trap_uenv_c   : std_ulogic_vector(5 downto 0) := "0" & "01000"; -- 0.8:  environment call from u-mode
731
  constant trap_menv_c   : std_ulogic_vector(5 downto 0) := "0" & "01011"; -- 0.11: environment call from m-mode
732
  -- RISC-V compliant interrupts (async. exceptions) --
733
  constant trap_msi_c    : std_ulogic_vector(5 downto 0) := "1" & "00011"; -- 1.3:  machine software interrupt
734
  constant trap_mti_c    : std_ulogic_vector(5 downto 0) := "1" & "00111"; -- 1.7:  machine timer interrupt
735
  constant trap_mei_c    : std_ulogic_vector(5 downto 0) := "1" & "01011"; -- 1.11: machine external interrupt
736
  -- NEORV32-specific (custom) interrupts (async. exceptions) --
737
  constant trap_reset_c  : std_ulogic_vector(5 downto 0) := "1" & "00000"; -- 1.0:  hardware reset
738
  constant trap_firq0_c  : std_ulogic_vector(5 downto 0) := "1" & "10000"; -- 1.16: fast interrupt 0
739
  constant trap_firq1_c  : std_ulogic_vector(5 downto 0) := "1" & "10001"; -- 1.17: fast interrupt 1
740
  constant trap_firq2_c  : std_ulogic_vector(5 downto 0) := "1" & "10010"; -- 1.18: fast interrupt 2
741
  constant trap_firq3_c  : std_ulogic_vector(5 downto 0) := "1" & "10011"; -- 1.19: fast interrupt 3
742
  constant trap_firq4_c  : std_ulogic_vector(5 downto 0) := "1" & "10100"; -- 1.20: fast interrupt 4
743
  constant trap_firq5_c  : std_ulogic_vector(5 downto 0) := "1" & "10101"; -- 1.21: fast interrupt 5
744
  constant trap_firq6_c  : std_ulogic_vector(5 downto 0) := "1" & "10110"; -- 1.22: fast interrupt 6
745
  constant trap_firq7_c  : std_ulogic_vector(5 downto 0) := "1" & "10111"; -- 1.23: fast interrupt 7
746
  constant trap_firq8_c  : std_ulogic_vector(5 downto 0) := "1" & "11000"; -- 1.24: fast interrupt 8
747
  constant trap_firq9_c  : std_ulogic_vector(5 downto 0) := "1" & "11001"; -- 1.25: fast interrupt 9
748
  constant trap_firq10_c : std_ulogic_vector(5 downto 0) := "1" & "11010"; -- 1.26: fast interrupt 10
749
  constant trap_firq11_c : std_ulogic_vector(5 downto 0) := "1" & "11011"; -- 1.27: fast interrupt 11
750
  constant trap_firq12_c : std_ulogic_vector(5 downto 0) := "1" & "11100"; -- 1.28: fast interrupt 12
751
  constant trap_firq13_c : std_ulogic_vector(5 downto 0) := "1" & "11101"; -- 1.29: fast interrupt 13
752
  constant trap_firq14_c : std_ulogic_vector(5 downto 0) := "1" & "11110"; -- 1.30: fast interrupt 14
753
  constant trap_firq15_c : std_ulogic_vector(5 downto 0) := "1" & "11111"; -- 1.31: fast interrupt 15
754 12 zero_gravi
 
755 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
756
  -- -------------------------------------------------------------------------------------------
757
  -- exception source bits --
758 47 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instrution access fault
759
  constant exception_iillegal_c  : natural :=  1; -- illegal instrution
760
  constant exception_ialign_c    : natural :=  2; -- instrution address misaligned
761
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
762
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
763
  constant exception_break_c     : natural :=  5; -- breakpoint
764
  constant exception_salign_c    : natural :=  6; -- store address misaligned
765
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
766
  constant exception_saccess_c   : natural :=  8; -- store access fault
767
  constant exception_laccess_c   : natural :=  9; -- load access fault
768 14 zero_gravi
  --
769 40 zero_gravi
  constant exception_width_c     : natural := 10; -- length of this list in bits
770 2 zero_gravi
  -- interrupt source bits --
771 47 zero_gravi
  constant interrupt_msw_irq_c   : natural :=  0; -- machine software interrupt
772
  constant interrupt_mtime_irq_c : natural :=  1; -- machine timer interrupt
773
  constant interrupt_mext_irq_c  : natural :=  2; -- machine external interrupt
774
  constant interrupt_firq_0_c    : natural :=  3; -- fast interrupt channel 0
775
  constant interrupt_firq_1_c    : natural :=  4; -- fast interrupt channel 1
776
  constant interrupt_firq_2_c    : natural :=  5; -- fast interrupt channel 2
777
  constant interrupt_firq_3_c    : natural :=  6; -- fast interrupt channel 3
778
  constant interrupt_firq_4_c    : natural :=  7; -- fast interrupt channel 4
779
  constant interrupt_firq_5_c    : natural :=  8; -- fast interrupt channel 5
780
  constant interrupt_firq_6_c    : natural :=  9; -- fast interrupt channel 6
781
  constant interrupt_firq_7_c    : natural := 10; -- fast interrupt channel 7
782 48 zero_gravi
  constant interrupt_firq_8_c    : natural := 11; -- fast interrupt channel 8
783
  constant interrupt_firq_9_c    : natural := 12; -- fast interrupt channel 9
784
  constant interrupt_firq_10_c   : natural := 13; -- fast interrupt channel 10
785
  constant interrupt_firq_11_c   : natural := 14; -- fast interrupt channel 11
786
  constant interrupt_firq_12_c   : natural := 15; -- fast interrupt channel 12
787
  constant interrupt_firq_13_c   : natural := 16; -- fast interrupt channel 13
788
  constant interrupt_firq_14_c   : natural := 17; -- fast interrupt channel 14
789
  constant interrupt_firq_15_c   : natural := 18; -- fast interrupt channel 15
790 14 zero_gravi
  --
791 48 zero_gravi
  constant interrupt_width_c     : natural := 19; -- length of this list in bits
792 2 zero_gravi
 
793 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
794
  -- -------------------------------------------------------------------------------------------
795 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
796
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
797 15 zero_gravi
 
798 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
799
  -- -------------------------------------------------------------------------------------------
800
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
801
  constant hpmcnt_event_never_c   : natural := 1;
802
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
803
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
804
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
805
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
806 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
807
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
808
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
809
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
810
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
811
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
812
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
813
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
814
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
815 42 zero_gravi
  --
816 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
817 42 zero_gravi
 
818 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
819 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
820
  constant clk_div2_c    : natural := 0;
821
  constant clk_div4_c    : natural := 1;
822
  constant clk_div8_c    : natural := 2;
823
  constant clk_div64_c   : natural := 3;
824
  constant clk_div128_c  : natural := 4;
825
  constant clk_div1024_c : natural := 5;
826
  constant clk_div2048_c : natural := 6;
827
  constant clk_div4096_c : natural := 7;
828
 
829
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
830
  -- -------------------------------------------------------------------------------------------
831
  component neorv32_top
832
    generic (
833
      -- General --
834 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
835 44 zero_gravi
      BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
836 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
837 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
838 2 zero_gravi
      -- RISC-V CPU Extensions --
839 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
840 44 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
841 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
842 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
843 18 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
844
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
845 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
846 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
847 19 zero_gravi
      -- Extension Options --
848 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
849
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
850 15 zero_gravi
      -- Physical Memory Protection (PMP) --
851 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
852
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
853
      -- Hardware Performance Monitors (HPM) --
854 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
855 23 zero_gravi
      -- Internal Instruction memory --
856 44 zero_gravi
      MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
857 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
858 34 zero_gravi
      MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
859 23 zero_gravi
      -- Internal Data memory --
860 44 zero_gravi
      MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
861 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
862 41 zero_gravi
      -- Internal Cache memory --
863 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
864 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
865
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
866 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
867 23 zero_gravi
      -- External memory interface --
868 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
869 2 zero_gravi
      -- Processor peripherals --
870 44 zero_gravi
      IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
871
      IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
872 50 zero_gravi
      IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
873
      IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
874 44 zero_gravi
      IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
875
      IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
876
      IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
877
      IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
878
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
879 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
880 52 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
881
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
882
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
883
      IO_NCO_EN                    : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
884
      IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
885 2 zero_gravi
    );
886
    port (
887
      -- Global control --
888 34 zero_gravi
      clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
889
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
890 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
891 53 zero_gravi
      wb_tag_o    : out std_ulogic_vector(03 downto 0); -- request tag
892 34 zero_gravi
      wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
893
      wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
894
      wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
895
      wb_we_o     : out std_ulogic; -- read/write
896
      wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
897
      wb_stb_o    : out std_ulogic; -- strobe
898
      wb_cyc_o    : out std_ulogic; -- valid cycle
899 53 zero_gravi
      wb_tag_i    : in  std_ulogic; -- response tag
900 34 zero_gravi
      wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
901
      wb_err_i    : in  std_ulogic := '0'; -- transfer error
902 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
903 34 zero_gravi
      fence_o     : out std_ulogic; -- indicates an executed FENCE operation
904
      fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
905 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
906 34 zero_gravi
      gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
907
      gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
908 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
909
      uart0_txd_o : out std_ulogic; -- UART0 send data
910
      uart0_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
911 51 zero_gravi
      uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
912
      uart0_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
913 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
914
      uart1_txd_o : out std_ulogic; -- UART1 send data
915
      uart1_rxd_i : in  std_ulogic := '0'; -- UART1 receive data
916 51 zero_gravi
      uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
917
      uart1_cts_i : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
918 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
919 34 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
920
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
921
      spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
922
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
923 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
924 35 zero_gravi
      twi_sda_io  : inout std_logic; -- twi serial data line
925
      twi_scl_io  : inout std_logic; -- twi serial clock line
926 49 zero_gravi
      -- PWM (available if IO_PWM_EN = true) --
927 40 zero_gravi
      pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
928 47 zero_gravi
      -- Custom Functions Subsystem IO --
929 52 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom CFS inputs conduit
930
      cfs_out_o   : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
931 49 zero_gravi
      -- NCO output (available if IO_NCO_EN = true) --
932
      nco_o       : out std_ulogic_vector(02 downto 0); -- numerically-controlled oscillator channels
933 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
934
      neoled_o    : out std_ulogic; -- async serial data line
935 44 zero_gravi
      -- system time input from external MTIME (available if IO_MTIME_EN = false) --
936 40 zero_gravi
      mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
937 2 zero_gravi
      -- Interrupts --
938 50 zero_gravi
      soc_firq_i  : in  std_ulogic_vector(5 downto 0) := (others => '0'); -- fast interrupt channels
939 44 zero_gravi
      mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
940 34 zero_gravi
      msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
941
      mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
942 2 zero_gravi
    );
943
  end component;
944
 
945 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
946
  -- -------------------------------------------------------------------------------------------
947
  component neorv32_cpu
948
    generic (
949
      -- General --
950 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
951
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu boot address
952 41 zero_gravi
      BUS_TIMEOUT                  : natural := 63;    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
953 4 zero_gravi
      -- RISC-V CPU Extensions --
954 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
955 44 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
956 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
957
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
958
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
959 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
960 53 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
961 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
962 49 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
963 19 zero_gravi
      -- Extension Options --
964
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
965 34 zero_gravi
      FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
966 15 zero_gravi
      -- Physical Memory Protection (PMP) --
967 52 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
968 42 zero_gravi
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
969
      -- Hardware Performance Monitors (HPM) --
970 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0      -- number of implemented HPM counters (0..29)
971 4 zero_gravi
    );
972
    port (
973
      -- global control --
974 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
975
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
976 47 zero_gravi
      sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
977 12 zero_gravi
      -- instruction bus interface --
978
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
979 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
980 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
981
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
982
      i_bus_we_o     : out std_ulogic; -- write enable
983
      i_bus_re_o     : out std_ulogic; -- read enable
984 53 zero_gravi
      i_bus_cancel_o : out std_ulogic := '0'; -- cancel current bus transaction
985 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
986
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
987 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
988 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
989 12 zero_gravi
      -- data bus interface --
990
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
991 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
992 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
993
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
994
      d_bus_we_o     : out std_ulogic; -- write enable
995
      d_bus_re_o     : out std_ulogic; -- read enable
996
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
997 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
998
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
999 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
1000 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1001 53 zero_gravi
      d_bus_excl_o   : out std_ulogic; -- exclusive access
1002
      d_bus_excl_i   : in  std_ulogic; -- state of exclusiv access (set if success)
1003 11 zero_gravi
      -- system time input from MTIME --
1004 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
1005
      -- interrupts (risc-v compliant) --
1006
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
1007
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
1008
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
1009
      -- fast interrupts (custom) --
1010 48 zero_gravi
      firq_i         : in  std_ulogic_vector(15 downto 0) := (others => '0');
1011
      firq_ack_o     : out std_ulogic_vector(15 downto 0)
1012 4 zero_gravi
    );
1013
  end component;
1014
 
1015 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1016
  -- -------------------------------------------------------------------------------------------
1017
  component neorv32_cpu_control
1018
    generic (
1019
      -- General --
1020 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
1021 12 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
1022 2 zero_gravi
      -- RISC-V CPU Extensions --
1023 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
1024 44 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
1025 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
1026
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
1027
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
1028 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
1029 53 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
1030 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
1031 49 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
1032 15 zero_gravi
      -- Physical memory protection (PMP) --
1033 52 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
1034 42 zero_gravi
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1035
      -- Hardware Performance Monitors (HPM) --
1036 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0      -- number of implemented HPM counters (0..29)
1037 2 zero_gravi
    );
1038
    port (
1039
      -- global control --
1040
      clk_i         : in  std_ulogic; -- global clock, rising edge
1041
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1042
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1043
      -- status input --
1044
      alu_wait_i    : in  std_ulogic; -- wait for ALU
1045 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1046
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1047 2 zero_gravi
      -- data input --
1048
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1049
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1050 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1051 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1052 2 zero_gravi
      -- data output --
1053
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1054 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1055
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1056 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1057 52 zero_gravi
      -- FPU interface --
1058
      fpu_rm_o      : out std_ulogic_vector(02 downto 0); -- rounding mode
1059
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1060 14 zero_gravi
      -- interrupts (risc-v compliant) --
1061
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1062
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1063 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1064 14 zero_gravi
      -- fast interrupts (custom) --
1065 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1066
      firq_ack_o    : out std_ulogic_vector(15 downto 0);
1067 11 zero_gravi
      -- system time input from MTIME --
1068
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1069 15 zero_gravi
      -- physical memory protection --
1070
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1071
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1072 2 zero_gravi
      -- bus access exceptions --
1073
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1074
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1075
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1076
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1077
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1078
      be_load_i     : in  std_ulogic; -- bus error on load data access
1079 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1080 2 zero_gravi
    );
1081
  end component;
1082
 
1083
  -- Component: CPU Register File -----------------------------------------------------------
1084
  -- -------------------------------------------------------------------------------------------
1085
  component neorv32_cpu_regfile
1086
    generic (
1087
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
1088
    );
1089
    port (
1090
      -- global control --
1091
      clk_i  : in  std_ulogic; -- global clock, rising edge
1092
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1093
      -- data input --
1094
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1095
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1096
      -- data output --
1097
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1098 47 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 2
1099
      cmp_o  : out std_ulogic_vector(1 downto 0) -- comparator status
1100 2 zero_gravi
    );
1101
  end component;
1102
 
1103
  -- Component: CPU ALU ---------------------------------------------------------------------
1104
  -- -------------------------------------------------------------------------------------------
1105
  component neorv32_cpu_alu
1106 11 zero_gravi
    generic (
1107 34 zero_gravi
      CPU_EXTENSION_RISCV_M : boolean := true; -- implement muld/div extension?
1108
      FAST_SHIFT_EN         : boolean := false -- use barrel shifter for shift operations
1109 11 zero_gravi
    );
1110 2 zero_gravi
    port (
1111
      -- global control --
1112
      clk_i       : in  std_ulogic; -- global clock, rising edge
1113
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1114
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1115
      -- data input --
1116
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1117
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1118
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
1119
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1120
      -- data output --
1121
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1122 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1123 2 zero_gravi
      -- co-processor interface --
1124 49 zero_gravi
      cp_start_o  : out std_ulogic_vector(7 downto 0); -- trigger co-processor i
1125
      cp_valid_i  : in  std_ulogic_vector(7 downto 0); -- co-processor i done
1126
      cp_result_i : in  cp_data_if_t; -- co-processor result
1127 2 zero_gravi
      -- status --
1128
      wait_o      : out std_ulogic -- busy due to iterative processing units
1129
    );
1130
  end component;
1131
 
1132 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1133 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1134
  component neorv32_cpu_cp_muldiv
1135 19 zero_gravi
    generic (
1136
      FAST_MUL_EN : boolean := false -- use DSPs for faster multiplication
1137
    );
1138 2 zero_gravi
    port (
1139
      -- global control --
1140
      clk_i   : in  std_ulogic; -- global clock, rising edge
1141
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1142
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1143 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1144 2 zero_gravi
      -- data input --
1145
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1146
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1147
      -- result and status --
1148
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1149
      valid_o : out std_ulogic -- data output valid
1150
    );
1151
  end component;
1152
 
1153 44 zero_gravi
  -- Component: CPU Co-Processor Bit Manipulation ('B' extension) ---------------------------
1154
  -- -------------------------------------------------------------------------------------------
1155
  component neorv32_cpu_cp_bitmanip
1156
    port (
1157
      -- global control --
1158
      clk_i   : in  std_ulogic; -- global clock, rising edge
1159
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1160
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1161
      start_i : in  std_ulogic; -- trigger operation
1162
      -- data input --
1163
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1164
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1165
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1166
      -- result and status --
1167
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1168
      valid_o : out std_ulogic -- data output valid
1169
    );
1170
  end component;
1171
 
1172 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1173 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1174
  component neorv32_cpu_cp_fpu
1175
    port (
1176
      -- global control --
1177 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1178
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1179
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1180
      start_i  : in  std_ulogic; -- trigger operation
1181 52 zero_gravi
      -- data input --
1182 53 zero_gravi
      frm_i    : in  std_ulogic_vector(2 downto 0); -- rounding mode
1183
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1184
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1185 52 zero_gravi
      -- result and status --
1186 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1187
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1188
      valid_o  : out std_ulogic -- data output valid
1189 52 zero_gravi
    );
1190
  end component;
1191
 
1192 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1193
  -- -------------------------------------------------------------------------------------------
1194
  component neorv32_cpu_bus
1195
    generic (
1196 53 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension?
1197 40 zero_gravi
      CPU_EXTENSION_RISCV_C : boolean := true;  -- implement compressed extension?
1198 15 zero_gravi
      -- Physical memory protection (PMP) --
1199 42 zero_gravi
      PMP_NUM_REGIONS       : natural := 0;       -- number of regions (0..64)
1200
      PMP_MIN_GRANULARITY   : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1201 41 zero_gravi
      -- Bus Timeout --
1202
      BUS_TIMEOUT           : natural := 63     -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1203 2 zero_gravi
    );
1204
    port (
1205
      -- global control --
1206 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1207 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
1208 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1209
      -- cpu instruction fetch interface --
1210
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1211
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1212
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
1213
      --
1214
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
1215
      be_instr_o     : out std_ulogic; -- bus error on instruction access
1216
      -- cpu data access interface --
1217
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1218
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1219
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1220
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1221
      d_wait_o       : out std_ulogic; -- wait for access to complete
1222
      --
1223 53 zero_gravi
      bus_excl_ok_o  : out std_ulogic; -- bus exclusive access successful
1224 12 zero_gravi
      ma_load_o      : out std_ulogic; -- misaligned load data address
1225
      ma_store_o     : out std_ulogic; -- misaligned store data address
1226
      be_load_o      : out std_ulogic; -- bus error on load data access
1227
      be_store_o     : out std_ulogic; -- bus error on store data access
1228 15 zero_gravi
      -- physical memory protection --
1229
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
1230
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
1231 12 zero_gravi
      -- instruction bus --
1232
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1233
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1234
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1235
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1236
      i_bus_we_o     : out std_ulogic; -- write enable
1237
      i_bus_re_o     : out std_ulogic; -- read enable
1238
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
1239
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1240
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1241
      i_bus_fence_o  : out std_ulogic; -- fence operation
1242
      -- data bus --
1243
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1244
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1245
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1246
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1247
      d_bus_we_o     : out std_ulogic; -- write enable
1248
      d_bus_re_o     : out std_ulogic; -- read enable
1249
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
1250
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1251
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1252 39 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- fence operation
1253 53 zero_gravi
      d_bus_excl_o   : out std_ulogic; -- exclusive access request
1254
      d_bus_excl_i   : in  std_ulogic  -- state of exclusiv access (set if success)
1255 2 zero_gravi
    );
1256
  end component;
1257
 
1258 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1259 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1260 45 zero_gravi
  component neorv32_icache
1261 41 zero_gravi
    generic (
1262 47 zero_gravi
      ICACHE_NUM_BLOCKS : natural := 4;  -- number of blocks (min 1), has to be a power of 2
1263
      ICACHE_BLOCK_SIZE : natural := 16; -- block size in bytes (min 4), has to be a power of 2
1264
      ICACHE_NUM_SETS   : natural := 1   -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1265 41 zero_gravi
    );
1266
    port (
1267
      -- global control --
1268
      clk_i         : in  std_ulogic; -- global clock, rising edge
1269
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1270
      clear_i       : in  std_ulogic; -- cache clear
1271
      -- host controller interface --
1272
      host_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1273
      host_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1274
      host_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1275
      host_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1276
      host_we_i     : in  std_ulogic; -- write enable
1277
      host_re_i     : in  std_ulogic; -- read enable
1278
      host_cancel_i : in  std_ulogic; -- cancel current bus transaction
1279
      host_ack_o    : out std_ulogic; -- bus transfer acknowledge
1280
      host_err_o    : out std_ulogic; -- bus transfer error
1281
      -- peripheral bus interface --
1282
      bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1283
      bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1284
      bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1285
      bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1286
      bus_we_o      : out std_ulogic; -- write enable
1287
      bus_re_o      : out std_ulogic; -- read enable
1288
      bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
1289
      bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1290
      bus_err_i     : in  std_ulogic  -- bus transfer error
1291
    );
1292
  end component;
1293
 
1294 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1295
  -- -------------------------------------------------------------------------------------------
1296
  component neorv32_busswitch
1297
    generic (
1298
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
1299
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
1300
    );
1301
    port (
1302
      -- global control --
1303
      clk_i           : in  std_ulogic; -- global clock, rising edge
1304
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
1305
      -- controller interface a --
1306
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1307
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1308
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1309
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1310
      ca_bus_we_i     : in  std_ulogic; -- write enable
1311
      ca_bus_re_i     : in  std_ulogic; -- read enable
1312
      ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
1313 53 zero_gravi
      ca_bus_excl_i   : in  std_ulogic; -- exclusive access
1314 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1315
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
1316
      -- controller interface b --
1317
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1318
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1319
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1320
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1321
      cb_bus_we_i     : in  std_ulogic; -- write enable
1322
      cb_bus_re_i     : in  std_ulogic; -- read enable
1323
      cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
1324 53 zero_gravi
      cb_bus_excl_i   : in  std_ulogic; -- exclusive access
1325 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1326
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
1327
      -- peripheral bus --
1328 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
1329 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1330
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1331
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1332
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1333
      p_bus_we_o      : out std_ulogic; -- write enable
1334
      p_bus_re_o      : out std_ulogic; -- read enable
1335
      p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
1336 53 zero_gravi
      p_bus_excl_o    : out std_ulogic; -- exclusive access
1337 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1338
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
1339
    );
1340
  end component;
1341
 
1342 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
1343
  -- -------------------------------------------------------------------------------------------
1344
  component neorv32_cpu_decompressor
1345
    port (
1346
      -- instruction input --
1347
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1348
      -- instruction output --
1349
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1350
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1351
    );
1352
  end component;
1353
 
1354
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1355
  -- -------------------------------------------------------------------------------------------
1356
  component neorv32_imem
1357
    generic (
1358
      IMEM_BASE      : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
1359
      IMEM_SIZE      : natural := 4*1024; -- processor-internal instruction memory size in bytes
1360
      IMEM_AS_ROM    : boolean := false;  -- implement IMEM as read-only memory?
1361 44 zero_gravi
      BOOTLOADER_EN  : boolean := true    -- implement and use bootloader?
1362 2 zero_gravi
    );
1363
    port (
1364
      clk_i  : in  std_ulogic; -- global clock line
1365
      rden_i : in  std_ulogic; -- read enable
1366
      wren_i : in  std_ulogic; -- write enable
1367
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1368
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1369
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1370
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1371
      ack_o  : out std_ulogic -- transfer acknowledge
1372
    );
1373
  end component;
1374
 
1375
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1376
  -- -------------------------------------------------------------------------------------------
1377
  component neorv32_dmem
1378
    generic (
1379
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
1380
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
1381
    );
1382
    port (
1383
      clk_i  : in  std_ulogic; -- global clock line
1384
      rden_i : in  std_ulogic; -- read enable
1385
      wren_i : in  std_ulogic; -- write enable
1386
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1387
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1388
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1389
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1390
      ack_o  : out std_ulogic -- transfer acknowledge
1391
    );
1392
  end component;
1393
 
1394
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1395
  -- -------------------------------------------------------------------------------------------
1396
  component neorv32_boot_rom
1397 23 zero_gravi
    generic (
1398
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000"; -- boot ROM base address
1399
      BOOTROM_SIZE : natural := 4*1024  -- processor-internal boot ROM memory size in bytes
1400
    );
1401 2 zero_gravi
    port (
1402
      clk_i  : in  std_ulogic; -- global clock line
1403
      rden_i : in  std_ulogic; -- read enable
1404
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1405
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1406
      ack_o  : out std_ulogic -- transfer acknowledge
1407
    );
1408
  end component;
1409
 
1410
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1411
  -- -------------------------------------------------------------------------------------------
1412
  component neorv32_mtime
1413
    port (
1414
      -- host access --
1415
      clk_i     : in  std_ulogic; -- global clock line
1416 4 zero_gravi
      rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
1417 2 zero_gravi
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1418
      rden_i    : in  std_ulogic; -- read enable
1419
      wren_i    : in  std_ulogic; -- write enable
1420
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1421
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1422
      ack_o     : out std_ulogic; -- transfer acknowledge
1423 11 zero_gravi
      -- time output for CPU --
1424
      time_o    : out std_ulogic_vector(63 downto 0); -- current system time
1425 2 zero_gravi
      -- interrupt --
1426
      irq_o     : out std_ulogic  -- interrupt request
1427
    );
1428
  end component;
1429
 
1430
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1431
  -- -------------------------------------------------------------------------------------------
1432
  component neorv32_gpio
1433
    port (
1434
      -- host access --
1435
      clk_i  : in  std_ulogic; -- global clock line
1436
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1437
      rden_i : in  std_ulogic; -- read enable
1438
      wren_i : in  std_ulogic; -- write enable
1439
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1440
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1441
      ack_o  : out std_ulogic; -- transfer acknowledge
1442
      -- parallel io --
1443 22 zero_gravi
      gpio_o : out std_ulogic_vector(31 downto 0);
1444
      gpio_i : in  std_ulogic_vector(31 downto 0);
1445 2 zero_gravi
      -- interrupt --
1446
      irq_o  : out std_ulogic
1447
    );
1448
  end component;
1449
 
1450
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1451
  -- -------------------------------------------------------------------------------------------
1452
  component neorv32_wdt
1453
    port (
1454
      -- host access --
1455
      clk_i       : in  std_ulogic; -- global clock line
1456
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1457
      rden_i      : in  std_ulogic; -- read enable
1458
      wren_i      : in  std_ulogic; -- write enable
1459
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1460
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1461
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1462
      ack_o       : out std_ulogic; -- transfer acknowledge
1463
      -- clock generator --
1464
      clkgen_en_o : out std_ulogic; -- enable clock generator
1465
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1466
      -- timeout event --
1467
      irq_o       : out std_ulogic; -- timeout IRQ
1468
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1469
    );
1470
  end component;
1471
 
1472
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1473
  -- -------------------------------------------------------------------------------------------
1474
  component neorv32_uart
1475 50 zero_gravi
    generic (
1476
      UART_PRIMARY : boolean := true -- true = primary UART (UART0), false = secondary UART (UART1)
1477
    );
1478 2 zero_gravi
    port (
1479
      -- host access --
1480
      clk_i       : in  std_ulogic; -- global clock line
1481
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1482
      rden_i      : in  std_ulogic; -- read enable
1483
      wren_i      : in  std_ulogic; -- write enable
1484
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1485
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1486
      ack_o       : out std_ulogic; -- transfer acknowledge
1487
      -- clock generator --
1488
      clkgen_en_o : out std_ulogic; -- enable clock generator
1489
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1490
      -- com lines --
1491
      uart_txd_o  : out std_ulogic;
1492
      uart_rxd_i  : in  std_ulogic;
1493 51 zero_gravi
      -- hardware flow control --
1494
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1495
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1496 2 zero_gravi
      -- interrupts --
1497 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1498
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1499 2 zero_gravi
    );
1500
  end component;
1501
 
1502
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1503
  -- -------------------------------------------------------------------------------------------
1504
  component neorv32_spi
1505
    port (
1506
      -- host access --
1507
      clk_i       : in  std_ulogic; -- global clock line
1508
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1509
      rden_i      : in  std_ulogic; -- read enable
1510
      wren_i      : in  std_ulogic; -- write enable
1511
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1512
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1513
      ack_o       : out std_ulogic; -- transfer acknowledge
1514
      -- clock generator --
1515
      clkgen_en_o : out std_ulogic; -- enable clock generator
1516
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1517
      -- com lines --
1518 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1519
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1520
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1521 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1522
      -- interrupt --
1523 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1524 2 zero_gravi
    );
1525
  end component;
1526
 
1527
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1528
  -- -------------------------------------------------------------------------------------------
1529
  component neorv32_twi
1530
    port (
1531
      -- host access --
1532
      clk_i       : in  std_ulogic; -- global clock line
1533
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1534
      rden_i      : in  std_ulogic; -- read enable
1535
      wren_i      : in  std_ulogic; -- write enable
1536
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1537
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1538
      ack_o       : out std_ulogic; -- transfer acknowledge
1539
      -- clock generator --
1540
      clkgen_en_o : out std_ulogic; -- enable clock generator
1541
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1542
      -- com lines --
1543
      twi_sda_io  : inout std_logic; -- serial data line
1544
      twi_scl_io  : inout std_logic; -- serial clock line
1545
      -- interrupt --
1546 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1547 2 zero_gravi
    );
1548
  end component;
1549
 
1550
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1551
  -- -------------------------------------------------------------------------------------------
1552
  component neorv32_pwm
1553
    port (
1554
      -- host access --
1555
      clk_i       : in  std_ulogic; -- global clock line
1556
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1557
      rden_i      : in  std_ulogic; -- read enable
1558
      wren_i      : in  std_ulogic; -- write enable
1559
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1560
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1561
      ack_o       : out std_ulogic; -- transfer acknowledge
1562
      -- clock generator --
1563
      clkgen_en_o : out std_ulogic; -- enable clock generator
1564
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1565
      -- pwm output channels --
1566
      pwm_o       : out std_ulogic_vector(03 downto 0)
1567
    );
1568
  end component;
1569
 
1570
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1571
  -- -------------------------------------------------------------------------------------------
1572
  component neorv32_trng
1573
    port (
1574
      -- host access --
1575
      clk_i  : in  std_ulogic; -- global clock line
1576
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1577
      rden_i : in  std_ulogic; -- read enable
1578
      wren_i : in  std_ulogic; -- write enable
1579
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1580
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1581
      ack_o  : out std_ulogic  -- transfer acknowledge
1582
    );
1583
  end component;
1584
 
1585
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1586
  -- -------------------------------------------------------------------------------------------
1587
  component neorv32_wishbone
1588
    generic (
1589 35 zero_gravi
      WB_PIPELINED_MODE : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode
1590 23 zero_gravi
      -- Internal instruction memory --
1591 44 zero_gravi
      MEM_INT_IMEM_EN   : boolean := true;   -- implement processor-internal instruction memory
1592 35 zero_gravi
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1593 23 zero_gravi
      -- Internal data memory --
1594 44 zero_gravi
      MEM_INT_DMEM_EN   : boolean := true;   -- implement processor-internal data memory
1595 35 zero_gravi
      MEM_INT_DMEM_SIZE : natural := 4*1024  -- size of processor-internal data memory in bytes
1596 2 zero_gravi
    );
1597
    port (
1598
      -- global control --
1599 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock line
1600
      rstn_i   : in  std_ulogic; -- global reset line, low-active
1601 2 zero_gravi
      -- host access --
1602 53 zero_gravi
      src_i    : in  std_ulogic; -- access type (0: data, 1:instruction)
1603
      addr_i   : in  std_ulogic_vector(31 downto 0); -- address
1604
      rden_i   : in  std_ulogic; -- read enable
1605
      wren_i   : in  std_ulogic; -- write enable
1606
      ben_i    : in  std_ulogic_vector(03 downto 0); -- byte write enable
1607
      data_i   : in  std_ulogic_vector(31 downto 0); -- data in
1608
      data_o   : out std_ulogic_vector(31 downto 0); -- data out
1609
      cancel_i : in  std_ulogic; -- cancel current bus transaction
1610
      excl_i   : in  std_ulogic; -- exclusive access request
1611
      excl_o   : out std_ulogic; -- state of exclusiv access (set if success)
1612
      ack_o    : out std_ulogic; -- transfer acknowledge
1613
      err_o    : out std_ulogic; -- transfer error
1614
      priv_i   : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
1615 2 zero_gravi
      -- wishbone interface --
1616 53 zero_gravi
      wb_tag_o : out std_ulogic_vector(03 downto 0); -- request tag
1617
      wb_adr_o : out std_ulogic_vector(31 downto 0); -- address
1618
      wb_dat_i : in  std_ulogic_vector(31 downto 0); -- read data
1619
      wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data
1620
      wb_we_o  : out std_ulogic; -- read/write
1621
      wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable
1622
      wb_stb_o : out std_ulogic; -- strobe
1623
      wb_cyc_o : out std_ulogic; -- valid cycle
1624
      wb_tag_i : in  std_ulogic; -- response tag
1625
      wb_ack_i : in  std_ulogic; -- transfer acknowledge
1626
      wb_err_i : in  std_ulogic  -- transfer error
1627 2 zero_gravi
    );
1628
  end component;
1629
 
1630 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1631 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1632 47 zero_gravi
  component neorv32_cfs
1633
    generic (
1634 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1635
      CFS_IN_SIZE  : positive := 32;  -- size of CFS input conduit in bits
1636
      CFS_OUT_SIZE : positive := 32   -- size of CFS output conduit in bits
1637 23 zero_gravi
    );
1638 34 zero_gravi
    port (
1639
      -- host access --
1640
      clk_i       : in  std_ulogic; -- global clock line
1641
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1642
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1643
      rden_i      : in  std_ulogic; -- read enable
1644 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1645 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1646
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1647
      ack_o       : out std_ulogic; -- transfer acknowledge
1648
      -- clock generator --
1649
      clkgen_en_o : out std_ulogic; -- enable clock generator
1650 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1651
      -- CPU state --
1652
      sleep_i     : in  std_ulogic; -- set if cpu is in sleep mode
1653
      -- interrupt --
1654
      irq_o       : out std_ulogic; -- interrupt request
1655
      irq_ack_i   : in  std_ulogic; -- interrupt acknowledge
1656
      -- custom io (conduit) --
1657 52 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0);  -- custom inputs
1658
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0)  -- custom outputs
1659 34 zero_gravi
    );
1660
  end component;
1661
 
1662 49 zero_gravi
  -- Component: Numerically-Controlled Oscillator (NCO) -------------------------------------
1663
  -- -------------------------------------------------------------------------------------------
1664
  component neorv32_nco
1665
    port (
1666
      -- host access --
1667
      clk_i       : in  std_ulogic; -- global clock line
1668
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1669
      rden_i      : in  std_ulogic; -- read enable
1670
      wren_i      : in  std_ulogic; -- write enable
1671
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1672
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1673
      ack_o       : out std_ulogic; -- transfer acknowledge
1674
      -- clock generator --
1675
      clkgen_en_o : out std_ulogic; -- enable clock generator
1676
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1677
      -- NCO output --
1678
      nco_o       : out std_ulogic_vector(02 downto 0)
1679
    );
1680
  end component;
1681
 
1682 52 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1683
  -- -------------------------------------------------------------------------------------------
1684
  component neorv32_neoled
1685
    port (
1686
      -- host access --
1687
      clk_i       : in  std_ulogic; -- global clock line
1688
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1689
      rden_i      : in  std_ulogic; -- read enable
1690
      wren_i      : in  std_ulogic; -- write enable
1691
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1692
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1693
      ack_o       : out std_ulogic; -- transfer acknowledge
1694
      -- clock generator --
1695
      clkgen_en_o : out std_ulogic; -- enable clock generator
1696
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1697
      -- interrupt --
1698
      irq_o       : out std_ulogic; -- interrupt request
1699
      -- NEOLED output --
1700
      neoled_o    : out std_ulogic -- serial async data line
1701
    );
1702
  end component;
1703
 
1704 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1705
  -- -------------------------------------------------------------------------------------------
1706 12 zero_gravi
  component neorv32_sysinfo
1707
    generic (
1708
      -- General --
1709 41 zero_gravi
      CLOCK_FREQUENCY      : natural := 0;      -- clock frequency of clk_i in Hz
1710 44 zero_gravi
      BOOTLOADER_EN        : boolean := true;   -- implement processor-internal bootloader?
1711 41 zero_gravi
      USER_CODE            : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1712 23 zero_gravi
      -- Internal Instruction memory --
1713 44 zero_gravi
      MEM_INT_IMEM_EN      : boolean := true;   -- implement processor-internal instruction memory
1714 41 zero_gravi
      MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1715
      MEM_INT_IMEM_ROM     : boolean := false;  -- implement processor-internal instruction memory as ROM
1716 23 zero_gravi
      -- Internal Data memory --
1717 44 zero_gravi
      MEM_INT_DMEM_EN      : boolean := true;   -- implement processor-internal data memory
1718 41 zero_gravi
      MEM_INT_DMEM_SIZE    : natural := 4*1024; -- size of processor-internal data memory in bytes
1719
      -- Internal Cache memory --
1720 44 zero_gravi
      ICACHE_EN            : boolean := true;   -- implement instruction cache
1721 41 zero_gravi
      ICACHE_NUM_BLOCKS    : natural := 4;      -- i-cache: number of blocks (min 2), has to be a power of 2
1722
      ICACHE_BLOCK_SIZE    : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
1723
      ICACHE_ASSOCIATIVITY : natural := 1;      -- i-cache: associativity (min 1), has to be a power 2
1724 23 zero_gravi
      -- External memory interface --
1725 44 zero_gravi
      MEM_EXT_EN           : boolean := false;  -- implement external memory bus interface?
1726 12 zero_gravi
      -- Processor peripherals --
1727 44 zero_gravi
      IO_GPIO_EN           : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1728
      IO_MTIME_EN          : boolean := true;   -- implement machine system timer (MTIME)?
1729 50 zero_gravi
      IO_UART0_EN          : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
1730
      IO_UART1_EN          : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1731 44 zero_gravi
      IO_SPI_EN            : boolean := true;   -- implement serial peripheral interface (SPI)?
1732
      IO_TWI_EN            : boolean := true;   -- implement two-wire interface (TWI)?
1733
      IO_PWM_EN            : boolean := true;   -- implement pulse-width modulation unit (PWM)?
1734
      IO_WDT_EN            : boolean := true;   -- implement watch dog timer (WDT)?
1735
      IO_TRNG_EN           : boolean := true;   -- implement true random number generator (TRNG)?
1736 49 zero_gravi
      IO_CFS_EN            : boolean := true;   -- implement custom functions subsystem (CFS)?
1737 52 zero_gravi
      IO_NCO_EN            : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
1738
      IO_NEOLED_EN         : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1739 12 zero_gravi
    );
1740
    port (
1741
      -- host access --
1742
      clk_i  : in  std_ulogic; -- global clock line
1743
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1744
      rden_i : in  std_ulogic; -- read enable
1745
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1746
      ack_o  : out std_ulogic  -- transfer acknowledge
1747
    );
1748
  end component;
1749
 
1750 2 zero_gravi
end neorv32_package;
1751
 
1752
package body neorv32_package is
1753
 
1754 41 zero_gravi
  -- Function: Minimal required number of bits to represent input number --------------------
1755 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1756
  function index_size_f(input : natural) return natural is
1757
  begin
1758
    for i in 0 to natural'high loop
1759
      if (2**i >= input) then
1760
        return i;
1761
      end if;
1762
    end loop; -- i
1763
    return 0;
1764
  end function index_size_f;
1765
 
1766
  -- Function: Conditional select natural ---------------------------------------------------
1767
  -- -------------------------------------------------------------------------------------------
1768
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
1769
  begin
1770
    if (cond = true) then
1771
      return val_t;
1772
    else
1773
      return val_f;
1774
    end if;
1775
  end function cond_sel_natural_f;
1776
 
1777
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
1778
  -- -------------------------------------------------------------------------------------------
1779
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
1780
  begin
1781
    if (cond = true) then
1782
      return val_t;
1783
    else
1784
      return val_f;
1785
    end if;
1786
  end function cond_sel_stdulogicvector_f;
1787
 
1788 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
1789 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1790 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
1791
  begin
1792
    if (cond = true) then
1793
      return val_t;
1794
    else
1795
      return val_f;
1796
    end if;
1797
  end function cond_sel_string_f;
1798
 
1799
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
1800
  -- -------------------------------------------------------------------------------------------
1801 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
1802
  begin
1803
    if (cond = true) then
1804
      return '1';
1805
    else
1806
      return '0';
1807
    end if;
1808
  end function bool_to_ulogic_f;
1809
 
1810
  -- Function: OR all bits ------------------------------------------------------------------
1811
  -- -------------------------------------------------------------------------------------------
1812
  function or_all_f(a : std_ulogic_vector) return std_ulogic is
1813
    variable tmp_v : std_ulogic;
1814
  begin
1815
    tmp_v := a(a'low);
1816 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1817
      for i in a'low+1 to a'high loop
1818
        tmp_v := tmp_v or a(i);
1819
      end loop; -- i
1820
    end if;
1821 2 zero_gravi
    return tmp_v;
1822
  end function or_all_f;
1823
 
1824
  -- Function: AND all bits -----------------------------------------------------------------
1825
  -- -------------------------------------------------------------------------------------------
1826
  function and_all_f(a : std_ulogic_vector) return std_ulogic is
1827
    variable tmp_v : std_ulogic;
1828
  begin
1829
    tmp_v := a(a'low);
1830 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1831
      for i in a'low+1 to a'high loop
1832
        tmp_v := tmp_v and a(i);
1833
      end loop; -- i
1834
    end if;
1835 2 zero_gravi
    return tmp_v;
1836
  end function and_all_f;
1837
 
1838
  -- Function: XOR all bits -----------------------------------------------------------------
1839
  -- -------------------------------------------------------------------------------------------
1840
  function xor_all_f(a : std_ulogic_vector) return std_ulogic is
1841
    variable tmp_v : std_ulogic;
1842
  begin
1843
    tmp_v := a(a'low);
1844 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1845
      for i in a'low+1 to a'high loop
1846
        tmp_v := tmp_v xor a(i);
1847
      end loop; -- i
1848
    end if;
1849 2 zero_gravi
    return tmp_v;
1850
  end function xor_all_f;
1851
 
1852
  -- Function: XNOR all bits ----------------------------------------------------------------
1853
  -- -------------------------------------------------------------------------------------------
1854
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic is
1855
    variable tmp_v : std_ulogic;
1856
  begin
1857
    tmp_v := a(a'low);
1858 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1859
      for i in a'low+1 to a'high loop
1860
        tmp_v := tmp_v xnor a(i);
1861
      end loop; -- i
1862
    end if;
1863 2 zero_gravi
    return tmp_v;
1864
  end function xnor_all_f;
1865
 
1866 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
1867 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1868
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
1869
    variable output_v : character;
1870
  begin
1871
    case input is
1872 7 zero_gravi
      when x"0"   => output_v := '0';
1873
      when x"1"   => output_v := '1';
1874
      when x"2"   => output_v := '2';
1875
      when x"3"   => output_v := '3';
1876
      when x"4"   => output_v := '4';
1877
      when x"5"   => output_v := '5';
1878
      when x"6"   => output_v := '6';
1879
      when x"7"   => output_v := '7';
1880
      when x"8"   => output_v := '8';
1881
      when x"9"   => output_v := '9';
1882
      when x"a"   => output_v := 'a';
1883
      when x"b"   => output_v := 'b';
1884
      when x"c"   => output_v := 'c';
1885
      when x"d"   => output_v := 'd';
1886
      when x"e"   => output_v := 'e';
1887
      when x"f"   => output_v := 'f';
1888 6 zero_gravi
      when others => output_v := '?';
1889
    end case;
1890
    return output_v;
1891
  end function to_hexchar_f;
1892
 
1893 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
1894
  -- -------------------------------------------------------------------------------------------
1895
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
1896
    variable hex_value_v : std_ulogic_vector(3 downto 0);
1897
  begin
1898
    case input is
1899
      when '0'       => hex_value_v := x"0";
1900
      when '1'       => hex_value_v := x"1";
1901
      when '2'       => hex_value_v := x"2";
1902
      when '3'       => hex_value_v := x"3";
1903
      when '4'       => hex_value_v := x"4";
1904
      when '5'       => hex_value_v := x"5";
1905
      when '6'       => hex_value_v := x"6";
1906
      when '7'       => hex_value_v := x"7";
1907
      when '8'       => hex_value_v := x"8";
1908
      when '9'       => hex_value_v := x"9";
1909
      when 'a' | 'A' => hex_value_v := x"a";
1910
      when 'b' | 'B' => hex_value_v := x"b";
1911
      when 'c' | 'C' => hex_value_v := x"c";
1912
      when 'd' | 'D' => hex_value_v := x"d";
1913
      when 'e' | 'E' => hex_value_v := x"e";
1914
      when 'f' | 'F' => hex_value_v := x"f";
1915
      when others    => hex_value_v := (others => 'X');
1916
    end case;
1917
    return hex_value_v;
1918
  end function hexchar_to_stdulogicvector_f;
1919
 
1920 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
1921
  -- -------------------------------------------------------------------------------------------
1922
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
1923
    variable output_v : std_ulogic_vector(input'range);
1924
  begin
1925
    for i in 0 to input'length-1 loop
1926
      output_v(input'length-i-1) := input(i);
1927
    end loop; -- i
1928
    return output_v;
1929
  end function bit_rev_f;
1930
 
1931 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
1932
  -- -------------------------------------------------------------------------------------------
1933
  function is_power_of_two_f(input : natural) return boolean is
1934
  begin
1935 38 zero_gravi
    if (input = 1) then -- 2^0
1936 36 zero_gravi
      return true;
1937 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
1938
      return true;
1939 36 zero_gravi
    else
1940
      return false;
1941
    end if;
1942
  end function is_power_of_two_f;
1943
 
1944 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
1945
  -- -------------------------------------------------------------------------------------------
1946
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
1947
    variable output_v : std_ulogic_vector(input'range);
1948
  begin
1949
    output_v(07 downto 00) := input(31 downto 24);
1950
    output_v(15 downto 08) := input(23 downto 16);
1951
    output_v(23 downto 16) := input(15 downto 08);
1952
    output_v(31 downto 24) := input(07 downto 00);
1953
    return output_v;
1954
  end function bswap32_f;
1955
 
1956 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.