OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- CPU core --
48 66 zero_gravi
  constant dedicated_reset_c : boolean := false; -- use dedicated hardware reset value for UNCRITICAL registers (FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value)
49 56 zero_gravi
  constant cp_timeout_en_c   : boolean := false; -- auto-terminate pending co-processor operations after 256 cycles (for debugging only), default = false
50 40 zero_gravi
 
51 54 zero_gravi
  -- "critical" number of implemented PMP regions --
52
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces
53
  -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
54
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
55 47 zero_gravi
 
56 57 zero_gravi
  -- "response time window" for processor-internal memories and IO devices
57
  constant max_proc_int_response_time_c : natural := 15; -- cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
58
 
59 59 zero_gravi
  -- jtag tap - identifier --
60
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
61
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
62
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
63
 
64 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
65
  -- -------------------------------------------------------------------------------------------
66 62 zero_gravi
  constant data_width_c : natural := 32; -- native data path width - do not change!
67 66 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01060300"; -- no touchy!
68 62 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
69 61 zero_gravi
 
70
  -- External Interface Types ---------------------------------------------------------------
71
  -- -------------------------------------------------------------------------------------------
72
  type sdata_8x32_t  is array (0 to 7)  of std_ulogic_vector(31 downto 0);
73
  type sdata_8x32r_t is array (0 to 7)  of std_logic_vector(31 downto 0); -- resolved type
74
 
75
  -- Internal Interface Types ---------------------------------------------------------------
76
  -- -------------------------------------------------------------------------------------------
77
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
78
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
79
  type cp_data_if_t  is array (0 to 3)  of std_ulogic_vector(data_width_c-1 downto 0);
80
 
81
  -- Internal Memory Types Configuration Types ----------------------------------------------
82
  -- -------------------------------------------------------------------------------------------
83
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
84
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
85
 
86 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
87 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
88
  function index_size_f(input : natural) return natural;
89
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
90 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
91 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
92 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
93 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
94 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
95 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
96
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
97
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
98 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
99 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
100 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
101 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
102 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
103 62 zero_gravi
  function char_to_lower_f(ch : character) return character;
104 61 zero_gravi
  function str_equal_f(str0 : string; str1 : string) return boolean;
105 63 zero_gravi
  function popcount_f(input : std_ulogic_vector) return natural;
106
  function leading_zeros_f(input : std_ulogic_vector) return natural;
107 61 zero_gravi
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
108 2 zero_gravi
 
109 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
110 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
111 61 zero_gravi
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
112 56 zero_gravi
 
113 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
114
  -- -------------------------------------------------------------------------------------------
115 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
116 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
117
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
118 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
119 2 zero_gravi
 
120 64 zero_gravi
  -- !!! IMPORTANT: The base address of each component/module has to be aligned to the !!!
121
  -- !!! total size of the module's occupied address space. The occupied address space !!!
122
  -- !!! has to be a power of two (minimum 4 bytes). Address spaces must not overlap.  !!!
123
 
124 23 zero_gravi
  -- Internal Bootloader ROM --
125 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
126 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
127 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
128 23 zero_gravi
 
129 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
130
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
131 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
132 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
133
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
134
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
135
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
136
 
137 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
138
  -- Control register(s) (including the device-enable) should be located at the base address of each device
139 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
140 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
141 2 zero_gravi
 
142 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
143 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
144 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
145 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
146
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
147
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
148
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
149
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
150
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
151
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
152
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
153
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
154
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
155
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
156
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
157
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
158
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
159
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
160
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
161
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
162
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
163
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
164
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
165
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
166
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
167
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
168
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
169
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
170
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
171
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
172
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
173
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
174
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
175
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
176
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
177 47 zero_gravi
 
178 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
179
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
180 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
181 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
182
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
183
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
184
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
185
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
186
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
187
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
188
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
189
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
190
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
191
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
192
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
193
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
194
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
195
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
196
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
197
 
198 63 zero_gravi
  -- Stream Link Interface (SLINK) --
199 61 zero_gravi
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
200
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
201 60 zero_gravi
 
202
  -- reserved --
203
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
204 63 zero_gravi
--constant reserved_size_c      : natural := 16*4; -- module's address space size in bytes
205 60 zero_gravi
 
206 63 zero_gravi
  -- reserved --
207
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40"; -- base address
208
--constant reserved_size_c      : natural := 8*4; -- module's address space size in bytes
209
 
210
  -- reserved --
211
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; -- base address
212
--constant reserved_size_c      : natural := 4*4; -- module's address space size in bytes
213
 
214
  -- reserved --
215
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff70"; -- base address
216
--constant reserved_size_c      : natural := 2*4; -- module's address space size in bytes
217
 
218
  -- reserved --
219
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff78"; -- base address
220 66 zero_gravi
--constant reserved_size_c      : natural := 1*4; -- module's address space size in bytes
221 63 zero_gravi
 
222 66 zero_gravi
  -- Bus Access Monitor (BUSKEEPER) --
223
  constant buskeeper_base_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff7c"; -- base address
224
  constant buskeeper_size_c     : natural := 1*4; -- module's address space size in bytes
225
 
226 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
227
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
228
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
229
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
230
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
231
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
232 62 zero_gravi
--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
233 2 zero_gravi
 
234
  -- Machine System Timer (MTIME) --
235 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
236 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
237 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
238
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
239
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
240
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
241 2 zero_gravi
 
242 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
243 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
244 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
245 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
246
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
247 2 zero_gravi
 
248
  -- Serial Peripheral Interface (SPI) --
249 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
250 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
251 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
252
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
253 2 zero_gravi
 
254
  -- Two Wire Interface (TWI) --
255 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
256 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
257 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
258
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
259 2 zero_gravi
 
260 61 zero_gravi
  -- True Random Number Generator (TRNG) --
261
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
262
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
263
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
264
 
265
  -- Watch Dog Timer (WDT) --
266
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
267
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
268
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
269
 
270 63 zero_gravi
  -- General Purpose Input/Output Controller (GPIO) --
271 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
272
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
273
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
274
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
275
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
276
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
277 2 zero_gravi
 
278 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
279 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
280 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
281 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
282
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
283 50 zero_gravi
 
284 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
285 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
286 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
287 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
288
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
289 12 zero_gravi
 
290 23 zero_gravi
  -- System Information Memory (SYSINFO) --
291 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
292 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
293 12 zero_gravi
 
294 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
295 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
296
  -- register file --
297 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
298
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
299
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
300
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
301
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
302
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
303
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
304
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
305
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
306
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
307
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
308 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
309
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
310
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
311
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
312
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
313 49 zero_gravi
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
314 2 zero_gravi
  -- alu --
315 62 zero_gravi
  constant ctrl_alu_arith_c     : natural := 17; -- ALU arithmetic command
316
  constant ctrl_alu_logic0_c    : natural := 18; -- ALU logic command bit 0
317
  constant ctrl_alu_logic1_c    : natural := 19; -- ALU logic command bit 1
318
  constant ctrl_alu_func0_c     : natural := 20; -- ALU function select command bit 0
319
  constant ctrl_alu_func1_c     : natural := 21; -- ALU function select command bit 1
320
  constant ctrl_alu_addsub_c    : natural := 22; -- 0=ADD, 1=SUB
321
  constant ctrl_alu_opa_mux_c   : natural := 23; -- operand A select (0=rs1, 1=PC)
322
  constant ctrl_alu_opb_mux_c   : natural := 24; -- operand B select (0=rs2, 1=IMM)
323
  constant ctrl_alu_unsigned_c  : natural := 25; -- is unsigned ALU operation
324
  constant ctrl_alu_shift_dir_c : natural := 26; -- shift direction (0=left, 1=right)
325
  constant ctrl_alu_shift_ar_c  : natural := 27; -- is arithmetic shift
326
  constant ctrl_alu_frm0_c      : natural := 28; -- FPU rounding mode bit 0
327
  constant ctrl_alu_frm1_c      : natural := 29; -- FPU rounding mode bit 1
328
  constant ctrl_alu_frm2_c      : natural := 30; -- FPU rounding mode bit 2
329 2 zero_gravi
  -- bus interface --
330 62 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 31; -- transfer size lsb (00=byte, 01=half-word)
331
  constant ctrl_bus_size_msb_c  : natural := 32; -- transfer size msb (10=word, 11=?)
332
  constant ctrl_bus_rd_c        : natural := 33; -- read data request
333
  constant ctrl_bus_wr_c        : natural := 34; -- write data request
334
  constant ctrl_bus_if_c        : natural := 35; -- instruction fetch request
335
  constant ctrl_bus_mo_we_c     : natural := 36; -- memory address and data output register write enable
336
  constant ctrl_bus_mi_we_c     : natural := 37; -- memory data input register write enable
337
  constant ctrl_bus_unsigned_c  : natural := 38; -- is unsigned load
338
  constant ctrl_bus_ierr_ack_c  : natural := 39; -- acknowledge instruction fetch bus exceptions
339
  constant ctrl_bus_derr_ack_c  : natural := 40; -- acknowledge data access bus exceptions
340
  constant ctrl_bus_fence_c     : natural := 41; -- executed fence operation
341
  constant ctrl_bus_fencei_c    : natural := 42; -- executed fencei operation
342
  constant ctrl_bus_lock_c      : natural := 43; -- make atomic/exclusive access lock
343
  constant ctrl_bus_de_lock_c   : natural := 44; -- remove atomic/exclusive access 
344
  constant ctrl_bus_ch_lock_c   : natural := 45; -- evaluate atomic/exclusive lock (SC operation)
345 26 zero_gravi
  -- co-processors --
346 62 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 46; -- cp select ID lsb
347
  constant ctrl_cp_id_msb_c     : natural := 47; -- cp select ID msb
348 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
349 62 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 48; -- funct3 bit 0
350
  constant ctrl_ir_funct3_1_c   : natural := 49; -- funct3 bit 1
351
  constant ctrl_ir_funct3_2_c   : natural := 50; -- funct3 bit 2
352
  constant ctrl_ir_funct12_0_c  : natural := 51; -- funct12 bit 0
353
  constant ctrl_ir_funct12_1_c  : natural := 52; -- funct12 bit 1
354
  constant ctrl_ir_funct12_2_c  : natural := 53; -- funct12 bit 2
355
  constant ctrl_ir_funct12_3_c  : natural := 54; -- funct12 bit 3
356
  constant ctrl_ir_funct12_4_c  : natural := 55; -- funct12 bit 4
357
  constant ctrl_ir_funct12_5_c  : natural := 56; -- funct12 bit 5
358
  constant ctrl_ir_funct12_6_c  : natural := 57; -- funct12 bit 6
359
  constant ctrl_ir_funct12_7_c  : natural := 58; -- funct12 bit 7
360
  constant ctrl_ir_funct12_8_c  : natural := 59; -- funct12 bit 8
361
  constant ctrl_ir_funct12_9_c  : natural := 60; -- funct12 bit 9
362
  constant ctrl_ir_funct12_10_c : natural := 61; -- funct12 bit 10
363
  constant ctrl_ir_funct12_11_c : natural := 62; -- funct12 bit 11
364
  constant ctrl_ir_opcode7_0_c  : natural := 63; -- opcode7 bit 0
365
  constant ctrl_ir_opcode7_1_c  : natural := 64; -- opcode7 bit 1
366
  constant ctrl_ir_opcode7_2_c  : natural := 65; -- opcode7 bit 2
367
  constant ctrl_ir_opcode7_3_c  : natural := 66; -- opcode7 bit 3
368
  constant ctrl_ir_opcode7_4_c  : natural := 67; -- opcode7 bit 4
369
  constant ctrl_ir_opcode7_5_c  : natural := 68; -- opcode7 bit 5
370
  constant ctrl_ir_opcode7_6_c  : natural := 69; -- opcode7 bit 6
371 47 zero_gravi
  -- CPU status --
372 62 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 70; -- privilege level lsb
373
  constant ctrl_priv_lvl_msb_c  : natural := 71; -- privilege level msb
374
  constant ctrl_sleep_c         : natural := 72; -- set when CPU is in sleep mode
375
  constant ctrl_trap_c          : natural := 73; -- set when CPU is entering trap execution
376
  constant ctrl_debug_running_c : natural := 74; -- CPU is in debug mode when set
377 2 zero_gravi
  -- control bus size --
378 62 zero_gravi
  constant ctrl_width_c         : natural := 75; -- control bus size
379 2 zero_gravi
 
380 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
381 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
382 47 zero_gravi
  constant cmp_equal_c : natural := 0;
383
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
384 2 zero_gravi
 
385
  -- RISC-V Opcode Layout -------------------------------------------------------------------
386
  -- -------------------------------------------------------------------------------------------
387
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
388
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
389
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
390
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
391
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
392
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
393
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
394
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
395
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
396
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
397
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
398
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
399
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
400
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
401
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
402
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
403
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
404
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
405
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
406
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
407 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
408
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
409 2 zero_gravi
 
410
  -- RISC-V Opcodes -------------------------------------------------------------------------
411
  -- -------------------------------------------------------------------------------------------
412
  -- alu --
413
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
414
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
415
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
416
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
417
  -- control flow --
418
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
419 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
420 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
421
  -- memory access --
422
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
423
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
424
  -- system/csr --
425 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
426 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
427 52 zero_gravi
  -- atomic memory access (A) --
428 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
429 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
430 66 zero_gravi
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single operand instruction
431 2 zero_gravi
 
432
  -- RISC-V Funct3 --------------------------------------------------------------------------
433
  -- -------------------------------------------------------------------------------------------
434
  -- control flow --
435
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
436
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
437
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
438
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
439
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
440
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
441
  -- memory access --
442
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
443
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
444
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
445
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
446
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
447
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
448
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
449
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
450
  -- alu --
451
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
452
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
453
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
454
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
455
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
456
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
457
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
458
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
459
  -- system/csr --
460 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
461 2 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
462
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
463
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
464
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
465
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
466
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
467 8 zero_gravi
  -- fence --
468
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
469 66 zero_gravi
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instruction stream sync
470 2 zero_gravi
 
471 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
472 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
473
  -- system --
474
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
475
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
476
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
477
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
478 59 zero_gravi
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- DRET
479 11 zero_gravi
 
480 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
481
  -- -------------------------------------------------------------------------------------------
482
  -- atomic operations --
483
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
484
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
485
 
486 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
487 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
488 54 zero_gravi
  -- formats --
489
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
490
  constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
491
  constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
492
  constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
493 52 zero_gravi
 
494 54 zero_gravi
  -- number class flags --
495
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
496
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
497
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
498
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
499
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
500
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
501
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
502
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
503
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
504
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
505
 
506
  -- exception flags --
507
  constant fp_exc_nv_c : natural := 0; -- invalid operation
508
  constant fp_exc_dz_c : natural := 1; -- divide by zero
509
  constant fp_exc_of_c : natural := 2; -- overflow
510
  constant fp_exc_uf_c : natural := 3; -- underflow
511
  constant fp_exc_nx_c : natural := 4; -- inexact
512
 
513
  -- special values (single-precision) --
514
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
515
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
516
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
517
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
518
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
519
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
520
 
521 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
522
  -- -------------------------------------------------------------------------------------------
523 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
524
  -- user floating-point CSRs --
525 52 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(07 downto 0) := x"00"; -- floating point
526
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
527
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
528
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
529 56 zero_gravi
  -- machine trap setup --
530 63 zero_gravi
  constant csr_class_setup_c    : std_ulogic_vector(08 downto 0) := x"30" & '0'; -- trap setup
531 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
532
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
533
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
534
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
535
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
536 62 zero_gravi
  --
537
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
538 64 zero_gravi
  -- machine configuration --
539
  constant csr_class_envcfg_c   : std_ulogic_vector(06 downto 0) := x"3" & "000"; -- configuration
540
  constant csr_menvcfg_c        : std_ulogic_vector(11 downto 0) := x"30a";
541
  constant csr_menvcfgh_c       : std_ulogic_vector(11 downto 0) := x"31a";
542 56 zero_gravi
  -- machine counter setup --
543
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
544 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
545
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
546
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
547
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
548
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
549
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
550
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
551
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
552
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
553
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
554
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
555
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
556
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
557
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
558
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
559
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
560
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
561
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
562
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
563
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
564
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
565
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
566
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
567
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
568
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
569
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
570
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
571
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
572
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
573
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
574 56 zero_gravi
  -- machine trap handling --
575 64 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
576 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
577
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
578
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
579
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
580
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
581 56 zero_gravi
  -- physical memory protection - configuration --
582 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
583 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
584
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
585
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
586
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
587
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
588
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
589
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
590
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
591
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
592
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
593
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
594
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
595
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
596
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
597
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
598
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
599 56 zero_gravi
  -- physical memory protection - address --
600 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
601
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
602
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
603
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
604
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
605
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
606
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
607
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
608
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
609
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
610
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
611
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
612
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
613
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
614
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
615
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
616
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
617
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
618
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
619
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
620
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
621
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
622
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
623
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
624
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
625
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
626
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
627
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
628
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
629
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
630
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
631
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
632
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
633
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
634
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
635
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
636
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
637
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
638
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
639
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
640
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
641
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
642
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
643
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
644
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
645
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
646
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
647
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
648
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
649
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
650
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
651
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
652
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
653
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
654
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
655
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
656
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
657
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
658
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
659
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
660
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
661
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
662
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
663
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
664 59 zero_gravi
  -- debug mode registers --
665
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
666
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
667
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
668
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
669 56 zero_gravi
  -- machine counters/timers --
670 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
671
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
672
  --
673
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
674
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
675
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
676
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
677
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
678
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
679
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
680
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
681
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
682
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
683
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
684
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
685
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
686
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
687
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
688
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
689
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
690
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
691
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
692
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
693
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
694
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
695
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
696
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
697
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
698
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
699
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
700
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
701
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
702
  --
703
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
704
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
705
  --
706
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
707
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
708
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
709
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
710
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
711
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
712
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
713
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
714
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
715
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
716
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
717
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
718
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
719
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
720
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
721
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
722
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
723
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
724
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
725
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
726
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
727
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
728
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
729
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
730
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
731
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
732
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
733
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
734
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
735
 
736 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
737
  -- user counters/timers --
738 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
739
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
740
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
741
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
742
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
743
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
744 56 zero_gravi
  -- machine information registers --
745 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
746
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
747
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
748
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
749 62 zero_gravi
  constant csr_mconfigptr_c     : std_ulogic_vector(11 downto 0) := x"f15";
750 42 zero_gravi
 
751 44 zero_gravi
  -- Co-Processor IDs -----------------------------------------------------------------------
752 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
753 63 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(1 downto 0) := "00"; -- shift operations (base ISA)
754
  constant cp_sel_muldiv_c   : std_ulogic_vector(1 downto 0) := "01"; -- multiplication/division operations ('M' extensions)
755
  constant cp_sel_bitmanip_c : std_ulogic_vector(1 downto 0) := "10"; -- bit manipulation ('B' extensions)
756 61 zero_gravi
  constant cp_sel_fpu_c      : std_ulogic_vector(1 downto 0) := "11"; -- floating-point unit ('Zfinx' extension)
757 2 zero_gravi
 
758
  -- ALU Function Codes ---------------------------------------------------------------------
759
  -- -------------------------------------------------------------------------------------------
760 39 zero_gravi
  -- arithmetic core --
761
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
762
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
763
  -- logic core --
764
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
765
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
766
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
767
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
768
  -- function select (actual alu result) --
769
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
770
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
771 61 zero_gravi
  constant alu_func_cmd_csrr_c    : std_ulogic_vector(1 downto 0) := "10"; -- r <= CSR read
772 60 zero_gravi
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (multi-cycle)
773 2 zero_gravi
 
774 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
775
  -- -------------------------------------------------------------------------------------------
776 64 zero_gravi
  -- MSB:   1 = async exception (IRQ), 0 = sync exception (e.g. ebreak)
777
  -- MSB-1: 1 = entry to debug mode, 0 = normal trapping
778 48 zero_gravi
  -- RISC-V compliant sync. exceptions --
779 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
780
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
781
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
782
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
783
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
784
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
785
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
786
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
787
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
788
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
789 48 zero_gravi
  -- RISC-V compliant interrupts (async. exceptions) --
790 59 zero_gravi
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
791
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
792
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
793 48 zero_gravi
  -- NEORV32-specific (custom) interrupts (async. exceptions) --
794 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
795
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
796
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
797
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
798
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
799
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
800
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
801
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
802
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
803
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
804
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
805
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
806
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
807
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
808
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
809
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
810
  -- entering debug mode - cause --
811
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- break instruction (sync / EXCEPTION)
812
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async / IRQ)
813
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async / IRQ)
814 12 zero_gravi
 
815 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
816
  -- -------------------------------------------------------------------------------------------
817
  -- exception source bits --
818 59 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instruction access fault
819
  constant exception_iillegal_c  : natural :=  1; -- illegal instruction
820
  constant exception_ialign_c    : natural :=  2; -- instruction address misaligned
821 47 zero_gravi
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
822
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
823
  constant exception_break_c     : natural :=  5; -- breakpoint
824
  constant exception_salign_c    : natural :=  6; -- store address misaligned
825
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
826
  constant exception_saccess_c   : natural :=  8; -- store access fault
827
  constant exception_laccess_c   : natural :=  9; -- load access fault
828 59 zero_gravi
  -- for debug mode only --
829
  constant exception_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
830 14 zero_gravi
  --
831 59 zero_gravi
  constant exception_width_c     : natural := 11; -- length of this list in bits
832 2 zero_gravi
  -- interrupt source bits --
833 64 zero_gravi
  constant interrupt_msw_irq_c   : natural :=  0; -- machine software interrupt
834
  constant interrupt_mtime_irq_c : natural :=  1; -- machine timer interrupt
835
  constant interrupt_mext_irq_c  : natural :=  2; -- machine external interrupt
836
  constant interrupt_firq_0_c    : natural :=  3; -- fast interrupt channel 0
837
  constant interrupt_firq_1_c    : natural :=  4; -- fast interrupt channel 1
838
  constant interrupt_firq_2_c    : natural :=  5; -- fast interrupt channel 2
839
  constant interrupt_firq_3_c    : natural :=  6; -- fast interrupt channel 3
840
  constant interrupt_firq_4_c    : natural :=  7; -- fast interrupt channel 4
841
  constant interrupt_firq_5_c    : natural :=  8; -- fast interrupt channel 5
842
  constant interrupt_firq_6_c    : natural :=  9; -- fast interrupt channel 6
843
  constant interrupt_firq_7_c    : natural := 10; -- fast interrupt channel 7
844
  constant interrupt_firq_8_c    : natural := 11; -- fast interrupt channel 8
845
  constant interrupt_firq_9_c    : natural := 12; -- fast interrupt channel 9
846
  constant interrupt_firq_10_c   : natural := 13; -- fast interrupt channel 10
847
  constant interrupt_firq_11_c   : natural := 14; -- fast interrupt channel 11
848
  constant interrupt_firq_12_c   : natural := 15; -- fast interrupt channel 12
849
  constant interrupt_firq_13_c   : natural := 16; -- fast interrupt channel 13
850
  constant interrupt_firq_14_c   : natural := 17; -- fast interrupt channel 14
851
  constant interrupt_firq_15_c   : natural := 18; -- fast interrupt channel 15
852 59 zero_gravi
  -- for debug mode only --
853 64 zero_gravi
  constant interrupt_db_halt_c   : natural := 19; -- enter debug mode via external halt request ("async IRQ")
854
  constant interrupt_db_step_c   : natural := 20; -- enter debug mode via single-stepping ("async IRQ")
855 14 zero_gravi
  --
856 64 zero_gravi
  constant interrupt_width_c     : natural := 21; -- length of this list in bits
857 2 zero_gravi
 
858 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
859
  -- -------------------------------------------------------------------------------------------
860 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
861
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
862 15 zero_gravi
 
863 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
864
  -- -------------------------------------------------------------------------------------------
865
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
866 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
867 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
868
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
869
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
870
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
871 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
872
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
873
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
874
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
875
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
876
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
877
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
878
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
879
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
880 42 zero_gravi
  --
881 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
882 42 zero_gravi
 
883 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
884 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
885
  constant clk_div2_c    : natural := 0;
886
  constant clk_div4_c    : natural := 1;
887
  constant clk_div8_c    : natural := 2;
888
  constant clk_div64_c   : natural := 3;
889
  constant clk_div128_c  : natural := 4;
890
  constant clk_div1024_c : natural := 5;
891
  constant clk_div2048_c : natural := 6;
892
  constant clk_div4096_c : natural := 7;
893
 
894
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
895
  -- -------------------------------------------------------------------------------------------
896
  component neorv32_top
897
    generic (
898
      -- General --
899 62 zero_gravi
      CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
900 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
901 62 zero_gravi
      INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
902 59 zero_gravi
      -- On-Chip Debugger (OCD) --
903
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
904 2 zero_gravi
      -- RISC-V CPU Extensions --
905 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
906 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
907 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
908 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
909 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
910 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
911 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
912 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
913 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
914
      CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
915 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
916 62 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
917 19 zero_gravi
      -- Extension Options --
918 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
919
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
920 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
921 62 zero_gravi
      CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
922 15 zero_gravi
      -- Physical Memory Protection (PMP) --
923 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
924
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
925
      -- Hardware Performance Monitors (HPM) --
926 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
927 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
928 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
929 62 zero_gravi
      MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
930 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
931 61 zero_gravi
      -- Internal Data memory (DMEM) --
932 62 zero_gravi
      MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
933 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
934 61 zero_gravi
      -- Internal Cache memory (iCACHE) --
935 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
936 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
937
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
938 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
939 61 zero_gravi
      -- External memory interface (WISHBONE) --
940 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
941 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
942 62 zero_gravi
      MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
943
      MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
944
      MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
945 61 zero_gravi
      -- Stream link interface (SLINK) --
946
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
947
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
948
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
949
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
950
      -- External Interrupts Controller (XIRQ) --
951
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
952 62 zero_gravi
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
953
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
954 2 zero_gravi
      -- Processor peripherals --
955 62 zero_gravi
      IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
956
      IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
957
      IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
958 65 zero_gravi
      IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
959
      IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
960 62 zero_gravi
      IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
961 65 zero_gravi
      IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
962
      IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
963 62 zero_gravi
      IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
964
      IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
965
      IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
966
      IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
967 44 zero_gravi
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
968 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
969 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
970 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
971
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
972 62 zero_gravi
      IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
973
      IO_NEOLED_TX_FIFO            : natural := 1       -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
974 2 zero_gravi
    );
975
    port (
976
      -- Global control --
977 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
978
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
979 59 zero_gravi
      -- JTAG on-chip debugger interface --
980 62 zero_gravi
      jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
981
      jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
982
      jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
983 61 zero_gravi
      jtag_tdo_o     : out std_ulogic;        -- serial data output
984 62 zero_gravi
      jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
985 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
986 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
987
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
988 62 zero_gravi
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
989 61 zero_gravi
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
990
      wb_we_o        : out std_ulogic; -- read/write
991
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
992
      wb_stb_o       : out std_ulogic; -- strobe
993
      wb_cyc_o       : out std_ulogic; -- valid cycle
994
      wb_lock_o      : out std_ulogic; -- exclusive access request
995 62 zero_gravi
      wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
996
      wb_err_i       : in  std_ulogic := 'L'; -- transfer error
997 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
998 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
999
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
1000
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
1001
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1002
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1003 62 zero_gravi
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
1004 61 zero_gravi
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
1005 62 zero_gravi
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
1006
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
1007 61 zero_gravi
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
1008 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
1009 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
1010 62 zero_gravi
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
1011 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
1012 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
1013 62 zero_gravi
      uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
1014 61 zero_gravi
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
1015 62 zero_gravi
      uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
1016 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
1017 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
1018 62 zero_gravi
      uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
1019 61 zero_gravi
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
1020 62 zero_gravi
      uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
1021 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
1022 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
1023
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
1024 62 zero_gravi
      spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
1025 61 zero_gravi
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
1026 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
1027 62 zero_gravi
      twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
1028
      twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
1029 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
1030 61 zero_gravi
      pwm_o          : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
1031 47 zero_gravi
      -- Custom Functions Subsystem IO --
1032 62 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
1033 61 zero_gravi
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1034 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1035 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1036 59 zero_gravi
      -- System time --
1037 62 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1038 61 zero_gravi
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1039
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1040 62 zero_gravi
      xirq_i         : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels
1041 61 zero_gravi
      -- CPU Interrupts --
1042 62 zero_gravi
      mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
1043
      msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
1044
      mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
1045 2 zero_gravi
    );
1046
  end component;
1047
 
1048 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1049
  -- -------------------------------------------------------------------------------------------
1050
  component neorv32_cpu
1051
    generic (
1052
      -- General --
1053 62 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1054
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1055
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1056 4 zero_gravi
      -- RISC-V CPU Extensions --
1057 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1058 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1059 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1060
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1061
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1062
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1063
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1064
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1065 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1066
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1067 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1068
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1069
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1070 19 zero_gravi
      -- Extension Options --
1071 62 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1072
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1073
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1074
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1075 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1076 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1077
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1078 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1079 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1080
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1081 4 zero_gravi
    );
1082
    port (
1083
      -- global control --
1084 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1085
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1086 47 zero_gravi
      sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
1087 12 zero_gravi
      -- instruction bus interface --
1088
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1089 62 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1090 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1091
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1092
      i_bus_we_o     : out std_ulogic; -- write enable
1093
      i_bus_re_o     : out std_ulogic; -- read enable
1094 57 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- exclusive access request
1095 62 zero_gravi
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1096
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1097 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
1098 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1099 12 zero_gravi
      -- data bus interface --
1100
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1101 62 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1102 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1103
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1104
      d_bus_we_o     : out std_ulogic; -- write enable
1105
      d_bus_re_o     : out std_ulogic; -- read enable
1106 57 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- exclusive access request
1107 62 zero_gravi
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1108
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1109 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
1110 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1111 11 zero_gravi
      -- system time input from MTIME --
1112 62 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0); -- current system time
1113 14 zero_gravi
      -- interrupts (risc-v compliant) --
1114 62 zero_gravi
      msw_irq_i      : in  std_ulogic; -- machine software interrupt
1115
      mext_irq_i     : in  std_ulogic; -- machine external interrupt
1116
      mtime_irq_i    : in  std_ulogic; -- machine timer interrupt
1117 14 zero_gravi
      -- fast interrupts (custom) --
1118 62 zero_gravi
      firq_i         : in  std_ulogic_vector(15 downto 0);
1119 59 zero_gravi
      -- debug mode (halt) request --
1120 62 zero_gravi
      db_halt_req_i  : in  std_ulogic
1121 4 zero_gravi
    );
1122
  end component;
1123
 
1124 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1125
  -- -------------------------------------------------------------------------------------------
1126
  component neorv32_cpu_control
1127
    generic (
1128
      -- General --
1129 62 zero_gravi
      HW_THREAD_ID                 : natural;     -- hardware thread id (32-bit)
1130
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1131
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1132 2 zero_gravi
      -- RISC-V CPU Extensions --
1133 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1134 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1135 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1136
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1137
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1138
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1139
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1140
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1141 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1142
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1143 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1144
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1145
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1146 56 zero_gravi
      -- Extension Options --
1147 62 zero_gravi
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1148
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1149 15 zero_gravi
      -- Physical memory protection (PMP) --
1150 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1151
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1152 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1153 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1154
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1155 2 zero_gravi
    );
1156
    port (
1157
      -- global control --
1158
      clk_i         : in  std_ulogic; -- global clock, rising edge
1159
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1160
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1161
      -- status input --
1162 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1163 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1164
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1165 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1166 2 zero_gravi
      -- data input --
1167
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1168
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1169 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1170 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1171 2 zero_gravi
      -- data output --
1172
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1173 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1174
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1175 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1176 52 zero_gravi
      -- FPU interface --
1177
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1178 59 zero_gravi
      -- debug mode (halt) request --
1179
      db_halt_req_i : in  std_ulogic;
1180 14 zero_gravi
      -- interrupts (risc-v compliant) --
1181
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1182
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1183 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1184 14 zero_gravi
      -- fast interrupts (custom) --
1185 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1186 11 zero_gravi
      -- system time input from MTIME --
1187
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1188 15 zero_gravi
      -- physical memory protection --
1189
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1190
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1191 2 zero_gravi
      -- bus access exceptions --
1192
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1193
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1194
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1195
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1196
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1197
      be_load_i     : in  std_ulogic; -- bus error on load data access
1198 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1199 2 zero_gravi
    );
1200
  end component;
1201
 
1202
  -- Component: CPU Register File -----------------------------------------------------------
1203
  -- -------------------------------------------------------------------------------------------
1204
  component neorv32_cpu_regfile
1205
    generic (
1206 62 zero_gravi
      CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension?
1207 2 zero_gravi
    );
1208
    port (
1209
      -- global control --
1210
      clk_i  : in  std_ulogic; -- global clock, rising edge
1211
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1212
      -- data input --
1213
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1214
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1215
      -- data output --
1216
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1217 65 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
1218 2 zero_gravi
    );
1219
  end component;
1220
 
1221
  -- Component: CPU ALU ---------------------------------------------------------------------
1222
  -- -------------------------------------------------------------------------------------------
1223
  component neorv32_cpu_alu
1224 11 zero_gravi
    generic (
1225 61 zero_gravi
      -- RISC-V CPU Extensions --
1226 66 zero_gravi
      CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
1227 62 zero_gravi
      CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
1228
      CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
1229
      CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1230 61 zero_gravi
      -- Extension Options --
1231 62 zero_gravi
      FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
1232
      FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
1233 11 zero_gravi
    );
1234 2 zero_gravi
    port (
1235
      -- global control --
1236
      clk_i       : in  std_ulogic; -- global clock, rising edge
1237
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1238
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1239
      -- data input --
1240
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1241
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1242
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
1243
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1244 61 zero_gravi
      csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1245 2 zero_gravi
      -- data output --
1246 65 zero_gravi
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
1247 2 zero_gravi
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1248 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1249 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1250 2 zero_gravi
      -- status --
1251 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1252 2 zero_gravi
    );
1253
  end component;
1254
 
1255 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1256
  -- -------------------------------------------------------------------------------------------
1257
  component neorv32_cpu_cp_shifter
1258
    generic (
1259 62 zero_gravi
      FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations
1260 61 zero_gravi
    );
1261
    port (
1262
      -- global control --
1263
      clk_i   : in  std_ulogic; -- global clock, rising edge
1264
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1265
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1266
      start_i : in  std_ulogic; -- trigger operation
1267
      -- data input --
1268
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1269 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1270 61 zero_gravi
      -- result and status --
1271
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1272
      valid_o : out std_ulogic -- data output valid
1273
    );
1274
  end component;
1275
 
1276 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1277 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1278
  component neorv32_cpu_cp_muldiv
1279 19 zero_gravi
    generic (
1280 62 zero_gravi
      FAST_MUL_EN : boolean; -- use DSPs for faster multiplication
1281
      DIVISION_EN : boolean  -- implement divider hardware
1282 19 zero_gravi
    );
1283 2 zero_gravi
    port (
1284
      -- global control --
1285
      clk_i   : in  std_ulogic; -- global clock, rising edge
1286
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1287
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1288 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1289 2 zero_gravi
      -- data input --
1290
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1291
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1292
      -- result and status --
1293
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1294
      valid_o : out std_ulogic -- data output valid
1295
    );
1296
  end component;
1297
 
1298 63 zero_gravi
  -- Component: CPU Co-Processor Bit-Manipulation Unit ('B' extension) ----------------------
1299
  -- -------------------------------------------------------------------------------------------
1300
  component neorv32_cpu_cp_bitmanip is
1301
    generic (
1302 66 zero_gravi
      FAST_SHIFT_EN : boolean  -- use barrel shifter for shift operations
1303 63 zero_gravi
    );
1304
    port (
1305
      -- global control --
1306
      clk_i   : in  std_ulogic; -- global clock, rising edge
1307
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1308
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1309
      start_i : in  std_ulogic; -- trigger operation
1310
      -- data input --
1311
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1312
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1313
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1314 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1315 63 zero_gravi
      -- result and status --
1316
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1317
      valid_o : out std_ulogic -- data output valid
1318
    );
1319
  end component;
1320
 
1321 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1322 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1323
  component neorv32_cpu_cp_fpu
1324
    port (
1325
      -- global control --
1326 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1327
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1328
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1329
      start_i  : in  std_ulogic; -- trigger operation
1330 52 zero_gravi
      -- data input --
1331 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1332 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1333
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1334 52 zero_gravi
      -- result and status --
1335 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1336
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1337
      valid_o  : out std_ulogic -- data output valid
1338 52 zero_gravi
    );
1339
  end component;
1340
 
1341 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1342
  -- -------------------------------------------------------------------------------------------
1343
  component neorv32_cpu_bus
1344
    generic (
1345 62 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
1346
      CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
1347 15 zero_gravi
      -- Physical memory protection (PMP) --
1348 62 zero_gravi
      PMP_NUM_REGIONS       : natural; -- number of regions (0..64)
1349
      PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1350 2 zero_gravi
    );
1351
    port (
1352
      -- global control --
1353 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1354 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
1355 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1356
      -- cpu instruction fetch interface --
1357
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1358
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1359
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
1360
      --
1361
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
1362
      be_instr_o     : out std_ulogic; -- bus error on instruction access
1363
      -- cpu data access interface --
1364
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1365
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1366
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1367
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1368
      d_wait_o       : out std_ulogic; -- wait for access to complete
1369
      --
1370 57 zero_gravi
      excl_state_o   : out std_ulogic; -- atomic/exclusive access status
1371 12 zero_gravi
      ma_load_o      : out std_ulogic; -- misaligned load data address
1372
      ma_store_o     : out std_ulogic; -- misaligned store data address
1373
      be_load_o      : out std_ulogic; -- bus error on load data access
1374
      be_store_o     : out std_ulogic; -- bus error on store data access
1375 15 zero_gravi
      -- physical memory protection --
1376
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
1377
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
1378 12 zero_gravi
      -- instruction bus --
1379
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1380
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1381
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1382
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1383
      i_bus_we_o     : out std_ulogic; -- write enable
1384
      i_bus_re_o     : out std_ulogic; -- read enable
1385 57 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- exclusive access request
1386 12 zero_gravi
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1387
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1388
      i_bus_fence_o  : out std_ulogic; -- fence operation
1389
      -- data bus --
1390
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1391
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1392
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1393
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1394
      d_bus_we_o     : out std_ulogic; -- write enable
1395
      d_bus_re_o     : out std_ulogic; -- read enable
1396 57 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- exclusive access request
1397 12 zero_gravi
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1398
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1399 57 zero_gravi
      d_bus_fence_o  : out std_ulogic  -- fence operation
1400 2 zero_gravi
    );
1401
  end component;
1402
 
1403 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1404
  -- -------------------------------------------------------------------------------------------
1405
  component neorv32_bus_keeper is
1406
    generic (
1407 59 zero_gravi
       -- External memory interface --
1408 62 zero_gravi
      MEM_EXT_EN        : boolean;  -- implement external memory bus interface?
1409 57 zero_gravi
      -- Internal instruction memory --
1410 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1411
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1412 57 zero_gravi
      -- Internal data memory --
1413 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1414
      MEM_INT_DMEM_SIZE : natural  -- size of processor-internal data memory in bytes
1415 57 zero_gravi
    );
1416
    port (
1417
      -- host access --
1418 66 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1419
      rstn_i     : in  std_ulogic; -- global reset, low-active, async
1420
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1421
      rden_i     : in  std_ulogic; -- read enable
1422
      wren_i     : in  std_ulogic; -- write enable
1423
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1424
      ack_o      : out std_ulogic; -- transfer acknowledge
1425
      err_o      : out std_ulogic; -- transfer error
1426
      -- bus monitoring --
1427
      bus_addr_i : in  std_ulogic_vector(31 downto 0); -- address
1428
      bus_rden_i : in  std_ulogic; -- read enable
1429
      bus_wren_i : in  std_ulogic; -- write enable
1430
      bus_ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1431
      bus_err_i  : in  std_ulogic  -- transfer error from bus system
1432 57 zero_gravi
    );
1433
  end component;
1434
 
1435 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1436 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1437 45 zero_gravi
  component neorv32_icache
1438 41 zero_gravi
    generic (
1439 62 zero_gravi
      ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2
1440
      ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2
1441
      ICACHE_NUM_SETS   : natural  -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1442 41 zero_gravi
    );
1443
    port (
1444
      -- global control --
1445
      clk_i         : in  std_ulogic; -- global clock, rising edge
1446
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1447
      clear_i       : in  std_ulogic; -- cache clear
1448
      -- host controller interface --
1449
      host_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1450
      host_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1451
      host_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1452
      host_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1453
      host_we_i     : in  std_ulogic; -- write enable
1454
      host_re_i     : in  std_ulogic; -- read enable
1455
      host_ack_o    : out std_ulogic; -- bus transfer acknowledge
1456
      host_err_o    : out std_ulogic; -- bus transfer error
1457
      -- peripheral bus interface --
1458
      bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1459
      bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1460
      bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1461
      bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1462
      bus_we_o      : out std_ulogic; -- write enable
1463
      bus_re_o      : out std_ulogic; -- read enable
1464
      bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1465
      bus_err_i     : in  std_ulogic  -- bus transfer error
1466
    );
1467
  end component;
1468
 
1469 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1470
  -- -------------------------------------------------------------------------------------------
1471
  component neorv32_busswitch
1472
    generic (
1473 62 zero_gravi
      PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
1474
      PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
1475 12 zero_gravi
    );
1476
    port (
1477
      -- global control --
1478
      clk_i           : in  std_ulogic; -- global clock, rising edge
1479
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
1480
      -- controller interface a --
1481
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1482
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1483
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1484
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1485
      ca_bus_we_i     : in  std_ulogic; -- write enable
1486
      ca_bus_re_i     : in  std_ulogic; -- read enable
1487 57 zero_gravi
      ca_bus_lock_i   : in  std_ulogic; -- exclusive access request
1488 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1489
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
1490
      -- controller interface b --
1491
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1492
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1493
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1494
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1495
      cb_bus_we_i     : in  std_ulogic; -- write enable
1496
      cb_bus_re_i     : in  std_ulogic; -- read enable
1497 57 zero_gravi
      cb_bus_lock_i   : in  std_ulogic; -- exclusive access request
1498 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1499
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
1500
      -- peripheral bus --
1501 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
1502 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1503
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1504
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1505
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1506
      p_bus_we_o      : out std_ulogic; -- write enable
1507
      p_bus_re_o      : out std_ulogic; -- read enable
1508 57 zero_gravi
      p_bus_lock_o    : out std_ulogic; -- exclusive access request
1509 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1510
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
1511
    );
1512
  end component;
1513
 
1514 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
1515
  -- -------------------------------------------------------------------------------------------
1516
  component neorv32_cpu_decompressor
1517
    port (
1518
      -- instruction input --
1519
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1520
      -- instruction output --
1521
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1522
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1523
    );
1524
  end component;
1525
 
1526
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1527
  -- -------------------------------------------------------------------------------------------
1528
  component neorv32_imem
1529
    generic (
1530 62 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0); -- memory base address
1531
      IMEM_SIZE    : natural; -- processor-internal instruction memory size in bytes
1532
      IMEM_AS_IROM : boolean  -- implement IMEM as pre-initialized read-only memory?
1533 2 zero_gravi
    );
1534
    port (
1535
      clk_i  : in  std_ulogic; -- global clock line
1536
      rden_i : in  std_ulogic; -- read enable
1537
      wren_i : in  std_ulogic; -- write enable
1538
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1539
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1540
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1541
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1542
      ack_o  : out std_ulogic -- transfer acknowledge
1543
    );
1544
  end component;
1545
 
1546
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1547
  -- -------------------------------------------------------------------------------------------
1548
  component neorv32_dmem
1549
    generic (
1550 62 zero_gravi
      DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address
1551
      DMEM_SIZE : natural -- processor-internal instruction memory size in bytes
1552 2 zero_gravi
    );
1553
    port (
1554
      clk_i  : in  std_ulogic; -- global clock line
1555
      rden_i : in  std_ulogic; -- read enable
1556
      wren_i : in  std_ulogic; -- write enable
1557
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1558
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1559
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1560
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1561
      ack_o  : out std_ulogic -- transfer acknowledge
1562
    );
1563
  end component;
1564
 
1565
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1566
  -- -------------------------------------------------------------------------------------------
1567
  component neorv32_boot_rom
1568 23 zero_gravi
    generic (
1569 62 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address
1570 23 zero_gravi
    );
1571 2 zero_gravi
    port (
1572
      clk_i  : in  std_ulogic; -- global clock line
1573
      rden_i : in  std_ulogic; -- read enable
1574
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1575
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1576
      ack_o  : out std_ulogic -- transfer acknowledge
1577
    );
1578
  end component;
1579
 
1580
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1581
  -- -------------------------------------------------------------------------------------------
1582
  component neorv32_mtime
1583
    port (
1584
      -- host access --
1585 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1586
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1587
      rden_i : in  std_ulogic; -- read enable
1588
      wren_i : in  std_ulogic; -- write enable
1589
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1590
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1591
      ack_o  : out std_ulogic; -- transfer acknowledge
1592 11 zero_gravi
      -- time output for CPU --
1593 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1594 2 zero_gravi
      -- interrupt --
1595 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1596 2 zero_gravi
    );
1597
  end component;
1598
 
1599
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1600
  -- -------------------------------------------------------------------------------------------
1601
  component neorv32_gpio
1602
    port (
1603
      -- host access --
1604
      clk_i  : in  std_ulogic; -- global clock line
1605
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1606
      rden_i : in  std_ulogic; -- read enable
1607
      wren_i : in  std_ulogic; -- write enable
1608
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1609
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1610
      ack_o  : out std_ulogic; -- transfer acknowledge
1611
      -- parallel io --
1612 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1613
      gpio_i : in  std_ulogic_vector(63 downto 0)
1614 2 zero_gravi
    );
1615
  end component;
1616
 
1617
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1618
  -- -------------------------------------------------------------------------------------------
1619
  component neorv32_wdt
1620
    port (
1621
      -- host access --
1622
      clk_i       : in  std_ulogic; -- global clock line
1623
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1624
      rden_i      : in  std_ulogic; -- read enable
1625
      wren_i      : in  std_ulogic; -- write enable
1626
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1627
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1628
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1629
      ack_o       : out std_ulogic; -- transfer acknowledge
1630
      -- clock generator --
1631
      clkgen_en_o : out std_ulogic; -- enable clock generator
1632
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1633
      -- timeout event --
1634
      irq_o       : out std_ulogic; -- timeout IRQ
1635
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1636
    );
1637
  end component;
1638
 
1639
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1640
  -- -------------------------------------------------------------------------------------------
1641
  component neorv32_uart
1642 50 zero_gravi
    generic (
1643 65 zero_gravi
      UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1)
1644
      UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1
1645
      UART_TX_FIFO : natural  -- TX fifo depth, has to be a power of two, min 1
1646 50 zero_gravi
    );
1647 2 zero_gravi
    port (
1648
      -- host access --
1649
      clk_i       : in  std_ulogic; -- global clock line
1650
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1651
      rden_i      : in  std_ulogic; -- read enable
1652
      wren_i      : in  std_ulogic; -- write enable
1653
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1654
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1655
      ack_o       : out std_ulogic; -- transfer acknowledge
1656
      -- clock generator --
1657
      clkgen_en_o : out std_ulogic; -- enable clock generator
1658
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1659
      -- com lines --
1660
      uart_txd_o  : out std_ulogic;
1661
      uart_rxd_i  : in  std_ulogic;
1662 51 zero_gravi
      -- hardware flow control --
1663
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1664
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1665 2 zero_gravi
      -- interrupts --
1666 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1667
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1668 2 zero_gravi
    );
1669
  end component;
1670
 
1671
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1672
  -- -------------------------------------------------------------------------------------------
1673
  component neorv32_spi
1674
    port (
1675
      -- host access --
1676
      clk_i       : in  std_ulogic; -- global clock line
1677
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1678
      rden_i      : in  std_ulogic; -- read enable
1679
      wren_i      : in  std_ulogic; -- write enable
1680
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1681
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1682
      ack_o       : out std_ulogic; -- transfer acknowledge
1683
      -- clock generator --
1684
      clkgen_en_o : out std_ulogic; -- enable clock generator
1685
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1686
      -- com lines --
1687 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1688
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1689
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1690 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1691
      -- interrupt --
1692 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1693 2 zero_gravi
    );
1694
  end component;
1695
 
1696
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1697
  -- -------------------------------------------------------------------------------------------
1698
  component neorv32_twi
1699
    port (
1700
      -- host access --
1701
      clk_i       : in  std_ulogic; -- global clock line
1702
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1703
      rden_i      : in  std_ulogic; -- read enable
1704
      wren_i      : in  std_ulogic; -- write enable
1705
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1706
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1707
      ack_o       : out std_ulogic; -- transfer acknowledge
1708
      -- clock generator --
1709
      clkgen_en_o : out std_ulogic; -- enable clock generator
1710
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1711
      -- com lines --
1712
      twi_sda_io  : inout std_logic; -- serial data line
1713
      twi_scl_io  : inout std_logic; -- serial clock line
1714
      -- interrupt --
1715 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1716 2 zero_gravi
    );
1717
  end component;
1718
 
1719
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1720
  -- -------------------------------------------------------------------------------------------
1721
  component neorv32_pwm
1722 60 zero_gravi
    generic (
1723 62 zero_gravi
      NUM_CHANNELS : natural -- number of PWM channels (0..60)
1724 60 zero_gravi
    );
1725 2 zero_gravi
    port (
1726
      -- host access --
1727
      clk_i       : in  std_ulogic; -- global clock line
1728
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1729
      rden_i      : in  std_ulogic; -- read enable
1730
      wren_i      : in  std_ulogic; -- write enable
1731
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1732
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1733
      ack_o       : out std_ulogic; -- transfer acknowledge
1734
      -- clock generator --
1735
      clkgen_en_o : out std_ulogic; -- enable clock generator
1736
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1737
      -- pwm output channels --
1738 60 zero_gravi
      pwm_o       : out std_ulogic_vector(NUM_CHANNELS-1 downto 0)
1739 2 zero_gravi
    );
1740
  end component;
1741
 
1742
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1743
  -- -------------------------------------------------------------------------------------------
1744
  component neorv32_trng
1745
    port (
1746
      -- host access --
1747
      clk_i  : in  std_ulogic; -- global clock line
1748
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1749
      rden_i : in  std_ulogic; -- read enable
1750
      wren_i : in  std_ulogic; -- write enable
1751
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1752
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1753
      ack_o  : out std_ulogic  -- transfer acknowledge
1754
    );
1755
  end component;
1756
 
1757
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1758
  -- -------------------------------------------------------------------------------------------
1759
  component neorv32_wishbone
1760
    generic (
1761 23 zero_gravi
      -- Internal instruction memory --
1762 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1763
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1764 23 zero_gravi
      -- Internal data memory --
1765 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1766
      MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
1767
      -- Interface Configuration --
1768
      BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1769
      PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1770
      BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
1771
      ASYNC_RX          : boolean  -- use register buffer for RX data when false
1772 2 zero_gravi
    );
1773
    port (
1774
      -- global control --
1775 57 zero_gravi
      clk_i     : in  std_ulogic; -- global clock line
1776
      rstn_i    : in  std_ulogic; -- global reset line, low-active
1777 2 zero_gravi
      -- host access --
1778 57 zero_gravi
      src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
1779
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1780
      rden_i    : in  std_ulogic; -- read enable
1781
      wren_i    : in  std_ulogic; -- write enable
1782
      ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
1783
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1784
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1785
      lock_i    : in  std_ulogic; -- exclusive access request
1786
      ack_o     : out std_ulogic; -- transfer acknowledge
1787
      err_o     : out std_ulogic; -- transfer error
1788
      priv_i    : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
1789 2 zero_gravi
      -- wishbone interface --
1790 57 zero_gravi
      wb_tag_o  : out std_ulogic_vector(02 downto 0); -- request tag
1791
      wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
1792
      wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
1793
      wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
1794
      wb_we_o   : out std_ulogic; -- read/write
1795
      wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
1796
      wb_stb_o  : out std_ulogic; -- strobe
1797
      wb_cyc_o  : out std_ulogic; -- valid cycle
1798
      wb_lock_o : out std_ulogic; -- exclusive access request
1799
      wb_ack_i  : in  std_ulogic; -- transfer acknowledge
1800
      wb_err_i  : in  std_ulogic  -- transfer error
1801 2 zero_gravi
    );
1802
  end component;
1803
 
1804 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1805 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1806 47 zero_gravi
  component neorv32_cfs
1807
    generic (
1808 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1809 62 zero_gravi
      CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
1810
      CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
1811 23 zero_gravi
    );
1812 34 zero_gravi
    port (
1813
      -- host access --
1814
      clk_i       : in  std_ulogic; -- global clock line
1815
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1816
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1817
      rden_i      : in  std_ulogic; -- read enable
1818 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1819 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1820
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1821
      ack_o       : out std_ulogic; -- transfer acknowledge
1822
      -- clock generator --
1823
      clkgen_en_o : out std_ulogic; -- enable clock generator
1824 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1825
      -- interrupt --
1826
      irq_o       : out std_ulogic; -- interrupt request
1827
      -- custom io (conduit) --
1828 62 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs
1829
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs
1830 34 zero_gravi
    );
1831
  end component;
1832
 
1833 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1834 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1835 61 zero_gravi
  component neorv32_neoled
1836 62 zero_gravi
    generic (
1837
      FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two)
1838
    );
1839 49 zero_gravi
    port (
1840
      -- host access --
1841
      clk_i       : in  std_ulogic; -- global clock line
1842
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1843
      rden_i      : in  std_ulogic; -- read enable
1844
      wren_i      : in  std_ulogic; -- write enable
1845
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1846
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1847
      ack_o       : out std_ulogic; -- transfer acknowledge
1848
      -- clock generator --
1849
      clkgen_en_o : out std_ulogic; -- enable clock generator
1850
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1851 61 zero_gravi
      -- interrupt --
1852
      irq_o       : out std_ulogic; -- interrupt request
1853
      -- NEOLED output --
1854
      neoled_o    : out std_ulogic -- serial async data line
1855 49 zero_gravi
    );
1856
  end component;
1857
 
1858 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1859 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1860 61 zero_gravi
  component neorv32_slink
1861
    generic (
1862 62 zero_gravi
      SLINK_NUM_TX  : natural; -- number of TX links (0..8)
1863
      SLINK_NUM_RX  : natural; -- number of TX links (0..8)
1864
      SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
1865
      SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
1866 61 zero_gravi
    );
1867 52 zero_gravi
    port (
1868
      -- host access --
1869 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1870
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1871
      rden_i         : in  std_ulogic; -- read enable
1872
      wren_i         : in  std_ulogic; -- write enable
1873
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1874
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1875
      ack_o          : out std_ulogic; -- transfer acknowledge
1876 52 zero_gravi
      -- interrupt --
1877 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1878
      irq_rx_o       : out std_ulogic; -- data received
1879
      -- TX stream interfaces --
1880
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1881
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1882
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1883
      -- RX stream interfaces --
1884
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1885
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1886
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
1887 52 zero_gravi
    );
1888
  end component;
1889
 
1890 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
1891
  -- -------------------------------------------------------------------------------------------
1892
  component neorv32_xirq
1893
    generic (
1894 62 zero_gravi
      XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
1895
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
1896
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1897 61 zero_gravi
    );
1898
    port (
1899
      -- host access --
1900
      clk_i     : in  std_ulogic; -- global clock line
1901
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1902
      rden_i    : in  std_ulogic; -- read enable
1903
      wren_i    : in  std_ulogic; -- write enable
1904
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1905
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1906
      ack_o     : out std_ulogic; -- transfer acknowledge
1907
      -- external interrupt lines --
1908
      xirq_i    : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
1909
      -- CPU interrupt --
1910
      cpu_irq_o : out std_ulogic
1911
    );
1912
  end component;
1913
 
1914 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1915
  -- -------------------------------------------------------------------------------------------
1916 12 zero_gravi
  component neorv32_sysinfo
1917
    generic (
1918
      -- General --
1919 63 zero_gravi
      CLOCK_FREQUENCY              : natural; -- clock frequency of clk_i in Hz
1920
      INT_BOOTLOADER_EN            : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
1921
      -- RISC-V CPU Extensions --
1922
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1923
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1924 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1925
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1926 63 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1927
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1928
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1929
      -- Extension Options --
1930
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1931
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1932
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1933
      -- Physical memory protection (PMP) --
1934
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1935 23 zero_gravi
      -- Internal Instruction memory --
1936 63 zero_gravi
      MEM_INT_IMEM_EN              : boolean; -- implement processor-internal instruction memory
1937
      MEM_INT_IMEM_SIZE            : natural; -- size of processor-internal instruction memory in bytes
1938 23 zero_gravi
      -- Internal Data memory --
1939 63 zero_gravi
      MEM_INT_DMEM_EN              : boolean; -- implement processor-internal data memory
1940
      MEM_INT_DMEM_SIZE            : natural; -- size of processor-internal data memory in bytes
1941 41 zero_gravi
      -- Internal Cache memory --
1942 63 zero_gravi
      ICACHE_EN                    : boolean; -- implement instruction cache
1943
      ICACHE_NUM_BLOCKS            : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
1944
      ICACHE_BLOCK_SIZE            : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
1945
      ICACHE_ASSOCIATIVITY         : natural; -- i-cache: associativity (min 1), has to be a power 2
1946 23 zero_gravi
      -- External memory interface --
1947 63 zero_gravi
      MEM_EXT_EN                   : boolean; -- implement external memory bus interface?
1948
      MEM_EXT_BIG_ENDIAN           : boolean; -- byte order: true=big-endian, false=little-endian
1949 59 zero_gravi
      -- On-Chip Debugger --
1950 63 zero_gravi
      ON_CHIP_DEBUGGER_EN          : boolean; -- implement OCD?
1951 12 zero_gravi
      -- Processor peripherals --
1952 63 zero_gravi
      IO_GPIO_EN                   : boolean; -- implement general purpose input/output port unit (GPIO)?
1953
      IO_MTIME_EN                  : boolean; -- implement machine system timer (MTIME)?
1954
      IO_UART0_EN                  : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
1955
      IO_UART1_EN                  : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1956
      IO_SPI_EN                    : boolean; -- implement serial peripheral interface (SPI)?
1957
      IO_TWI_EN                    : boolean; -- implement two-wire interface (TWI)?
1958
      IO_PWM_NUM_CH                : natural; -- number of PWM channels to implement
1959
      IO_WDT_EN                    : boolean; -- implement watch dog timer (WDT)?
1960
      IO_TRNG_EN                   : boolean; -- implement true random number generator (TRNG)?
1961
      IO_CFS_EN                    : boolean; -- implement custom functions subsystem (CFS)?
1962
      IO_SLINK_EN                  : boolean; -- implement stream link interface?
1963
      IO_NEOLED_EN                 : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1964
      IO_XIRQ_NUM_CH               : natural  -- number of external interrupt (XIRQ) channels to implement
1965 12 zero_gravi
    );
1966
    port (
1967
      -- host access --
1968
      clk_i  : in  std_ulogic; -- global clock line
1969
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1970
      rden_i : in  std_ulogic; -- read enable
1971
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1972
      ack_o  : out std_ulogic  -- transfer acknowledge
1973
    );
1974
  end component;
1975
 
1976 62 zero_gravi
  -- Component: General Purpose FIFO --------------------------------------------------------
1977 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
1978
  component neorv32_fifo
1979
    generic (
1980 62 zero_gravi
      FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1
1981
      FIFO_WIDTH : natural; -- size of data elements in fifo
1982
      FIFO_RSYNC : boolean; -- false = async read; true = sync read
1983
      FIFO_SAFE  : boolean  -- true = allow read/write only if entry available
1984 61 zero_gravi
    );
1985
    port (
1986
      -- control --
1987
      clk_i   : in  std_ulogic; -- clock, rising edge
1988
      rstn_i  : in  std_ulogic; -- async reset, low-active
1989
      clear_i : in  std_ulogic; -- sync reset, high-active
1990 62 zero_gravi
      level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level
1991 65 zero_gravi
      half_o  : out std_ulogic; -- FIFO is at least half full
1992 61 zero_gravi
      -- write port --
1993
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
1994
      we_i    : in  std_ulogic; -- write enable
1995
      free_o  : out std_ulogic; -- at least one entry is free when set
1996
      -- read port --
1997
      re_i    : in  std_ulogic; -- read enable
1998
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
1999
      avail_o : out std_ulogic  -- data available when set
2000
    );
2001
  end component;
2002
 
2003 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
2004
  -- -------------------------------------------------------------------------------------------
2005
  component neorv32_debug_dm
2006
    port (
2007
      -- global control --
2008
      clk_i            : in  std_ulogic; -- global clock line
2009
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2010
      -- debug module interface (DMI) --
2011
      dmi_rstn_i       : in  std_ulogic;
2012
      dmi_req_valid_i  : in  std_ulogic;
2013
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
2014
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
2015
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
2016
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
2017
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
2018
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
2019
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
2020
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
2021
      -- CPU bus access --
2022
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
2023
      cpu_rden_i       : in  std_ulogic; -- read enable
2024
      cpu_wren_i       : in  std_ulogic; -- write enable
2025
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
2026
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
2027
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
2028
      -- CPU control --
2029
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
2030
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
2031
    );
2032
  end component;
2033
 
2034
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
2035
  -- -------------------------------------------------------------------------------------------
2036
  component neorv32_debug_dtm
2037
    generic (
2038 62 zero_gravi
      IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version
2039
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0); -- part number
2040
      IDCODE_MANID   : std_ulogic_vector(10 downto 0)  -- manufacturer id
2041 59 zero_gravi
    );
2042
    port (
2043
      -- global control --
2044
      clk_i            : in  std_ulogic; -- global clock line
2045
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2046
      -- jtag connection --
2047
      jtag_trst_i      : in  std_ulogic;
2048
      jtag_tck_i       : in  std_ulogic;
2049
      jtag_tdi_i       : in  std_ulogic;
2050
      jtag_tdo_o       : out std_ulogic;
2051
      jtag_tms_i       : in  std_ulogic;
2052
      -- debug module interface (DMI) --
2053
      dmi_rstn_o       : out std_ulogic;
2054
      dmi_req_valid_o  : out std_ulogic;
2055
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
2056
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
2057
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
2058
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
2059
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
2060
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
2061
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
2062
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
2063
    );
2064
  end component;
2065
 
2066 2 zero_gravi
end neorv32_package;
2067
 
2068
package body neorv32_package is
2069
 
2070 41 zero_gravi
  -- Function: Minimal required number of bits to represent input number --------------------
2071 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2072
  function index_size_f(input : natural) return natural is
2073
  begin
2074
    for i in 0 to natural'high loop
2075
      if (2**i >= input) then
2076
        return i;
2077
      end if;
2078
    end loop; -- i
2079
    return 0;
2080
  end function index_size_f;
2081
 
2082
  -- Function: Conditional select natural ---------------------------------------------------
2083
  -- -------------------------------------------------------------------------------------------
2084
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2085
  begin
2086
    if (cond = true) then
2087
      return val_t;
2088
    else
2089
      return val_f;
2090
    end if;
2091
  end function cond_sel_natural_f;
2092
 
2093 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2094
  -- -------------------------------------------------------------------------------------------
2095
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2096
  begin
2097
    if (cond = true) then
2098
      return val_t;
2099
    else
2100
      return val_f;
2101
    end if;
2102
  end function cond_sel_int_f;
2103
 
2104 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2105
  -- -------------------------------------------------------------------------------------------
2106
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2107
  begin
2108
    if (cond = true) then
2109
      return val_t;
2110
    else
2111
      return val_f;
2112
    end if;
2113
  end function cond_sel_stdulogicvector_f;
2114
 
2115 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2116
  -- -------------------------------------------------------------------------------------------
2117
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2118
  begin
2119
    if (cond = true) then
2120
      return val_t;
2121
    else
2122
      return val_f;
2123
    end if;
2124
  end function cond_sel_stdulogic_f;
2125
 
2126 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2127 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2128 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2129
  begin
2130
    if (cond = true) then
2131
      return val_t;
2132
    else
2133
      return val_f;
2134
    end if;
2135
  end function cond_sel_string_f;
2136
 
2137
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2138
  -- -------------------------------------------------------------------------------------------
2139 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2140
  begin
2141
    if (cond = true) then
2142
      return '1';
2143
    else
2144
      return '0';
2145
    end if;
2146
  end function bool_to_ulogic_f;
2147
 
2148 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2149 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2150 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2151 2 zero_gravi
    variable tmp_v : std_ulogic;
2152
  begin
2153 56 zero_gravi
    tmp_v := '0';
2154 65 zero_gravi
    for i in a'range loop
2155
      tmp_v := tmp_v or a(i);
2156
    end loop; -- i
2157 2 zero_gravi
    return tmp_v;
2158 60 zero_gravi
  end function or_reduce_f;
2159 2 zero_gravi
 
2160 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2161 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2162 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2163 2 zero_gravi
    variable tmp_v : std_ulogic;
2164
  begin
2165 56 zero_gravi
    tmp_v := '1';
2166 65 zero_gravi
    for i in a'range loop
2167
      tmp_v := tmp_v and a(i);
2168
    end loop; -- i
2169 2 zero_gravi
    return tmp_v;
2170 60 zero_gravi
  end function and_reduce_f;
2171 2 zero_gravi
 
2172 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2173 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2174 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2175 2 zero_gravi
    variable tmp_v : std_ulogic;
2176
  begin
2177 56 zero_gravi
    tmp_v := '0';
2178 65 zero_gravi
    for i in a'range loop
2179
      tmp_v := tmp_v xor a(i);
2180
    end loop; -- i
2181 2 zero_gravi
    return tmp_v;
2182 60 zero_gravi
  end function xor_reduce_f;
2183 2 zero_gravi
 
2184 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2185 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2186
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2187
    variable output_v : character;
2188
  begin
2189
    case input is
2190 7 zero_gravi
      when x"0"   => output_v := '0';
2191
      when x"1"   => output_v := '1';
2192
      when x"2"   => output_v := '2';
2193
      when x"3"   => output_v := '3';
2194
      when x"4"   => output_v := '4';
2195
      when x"5"   => output_v := '5';
2196
      when x"6"   => output_v := '6';
2197
      when x"7"   => output_v := '7';
2198
      when x"8"   => output_v := '8';
2199
      when x"9"   => output_v := '9';
2200
      when x"a"   => output_v := 'a';
2201
      when x"b"   => output_v := 'b';
2202
      when x"c"   => output_v := 'c';
2203
      when x"d"   => output_v := 'd';
2204
      when x"e"   => output_v := 'e';
2205
      when x"f"   => output_v := 'f';
2206 6 zero_gravi
      when others => output_v := '?';
2207
    end case;
2208
    return output_v;
2209
  end function to_hexchar_f;
2210
 
2211 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2212
  -- -------------------------------------------------------------------------------------------
2213
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2214
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2215
  begin
2216
    case input is
2217
      when '0'       => hex_value_v := x"0";
2218
      when '1'       => hex_value_v := x"1";
2219
      when '2'       => hex_value_v := x"2";
2220
      when '3'       => hex_value_v := x"3";
2221
      when '4'       => hex_value_v := x"4";
2222
      when '5'       => hex_value_v := x"5";
2223
      when '6'       => hex_value_v := x"6";
2224
      when '7'       => hex_value_v := x"7";
2225
      when '8'       => hex_value_v := x"8";
2226
      when '9'       => hex_value_v := x"9";
2227
      when 'a' | 'A' => hex_value_v := x"a";
2228
      when 'b' | 'B' => hex_value_v := x"b";
2229
      when 'c' | 'C' => hex_value_v := x"c";
2230
      when 'd' | 'D' => hex_value_v := x"d";
2231
      when 'e' | 'E' => hex_value_v := x"e";
2232
      when 'f' | 'F' => hex_value_v := x"f";
2233
      when others    => hex_value_v := (others => 'X');
2234
    end case;
2235
    return hex_value_v;
2236
  end function hexchar_to_stdulogicvector_f;
2237
 
2238 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2239
  -- -------------------------------------------------------------------------------------------
2240
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2241
    variable output_v : std_ulogic_vector(input'range);
2242
  begin
2243
    for i in 0 to input'length-1 loop
2244
      output_v(input'length-i-1) := input(i);
2245
    end loop; -- i
2246
    return output_v;
2247
  end function bit_rev_f;
2248
 
2249 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2250
  -- -------------------------------------------------------------------------------------------
2251
  function is_power_of_two_f(input : natural) return boolean is
2252
  begin
2253 38 zero_gravi
    if (input = 1) then -- 2^0
2254 36 zero_gravi
      return true;
2255 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2256
      return true;
2257 36 zero_gravi
    else
2258
      return false;
2259
    end if;
2260
  end function is_power_of_two_f;
2261
 
2262 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2263
  -- -------------------------------------------------------------------------------------------
2264
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2265
    variable output_v : std_ulogic_vector(input'range);
2266
  begin
2267
    output_v(07 downto 00) := input(31 downto 24);
2268
    output_v(15 downto 08) := input(23 downto 16);
2269
    output_v(23 downto 16) := input(15 downto 08);
2270
    output_v(31 downto 24) := input(07 downto 00);
2271
    return output_v;
2272
  end function bswap32_f;
2273
 
2274 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2275
  -- -------------------------------------------------------------------------------------------
2276 62 zero_gravi
  function char_to_lower_f(ch : character) return character is
2277 61 zero_gravi
    variable res: character;
2278
   begin
2279
     case ch is
2280
       when 'A'    => res := 'a';
2281
       when 'B'    => res := 'b';
2282
       when 'C'    => res := 'c';
2283
       when 'D'    => res := 'd';
2284
       when 'E'    => res := 'e';
2285
       when 'F'    => res := 'f';
2286
       when 'G'    => res := 'g';
2287
       when 'H'    => res := 'h';
2288
       when 'I'    => res := 'i';
2289
       when 'J'    => res := 'j';
2290
       when 'K'    => res := 'k';
2291
       when 'L'    => res := 'l';
2292
       when 'M'    => res := 'm';
2293
       when 'N'    => res := 'n';
2294
       when 'O'    => res := 'o';
2295
       when 'P'    => res := 'p';
2296
       when 'Q'    => res := 'q';
2297
       when 'R'    => res := 'r';
2298
       when 'S'    => res := 's';
2299
       when 'T'    => res := 't';
2300
       when 'U'    => res := 'u';
2301
       when 'V'    => res := 'v';
2302
       when 'W'    => res := 'w';
2303
       when 'X'    => res := 'x';
2304
       when 'Y'    => res := 'y';
2305
       when 'Z'    => res := 'z';
2306
       when others => res := ch;
2307
      end case;
2308
    return res;
2309 62 zero_gravi
  end function char_to_lower_f;
2310 61 zero_gravi
 
2311
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2312
  -- -------------------------------------------------------------------------------------------
2313
  function str_equal_f(str0 : string; str1 : string) return boolean is
2314
    variable tmp0_v : string(str0'range);
2315
    variable tmp1_v : string(str1'range);
2316
  begin
2317
    if (str0'length /= str1'length) then -- equal length?
2318
      return false;
2319
    else
2320
      -- convert to lower case --
2321
      for i in str0'range loop
2322 62 zero_gravi
        tmp0_v(i) := char_to_lower_f(str0(i));
2323 61 zero_gravi
      end loop;
2324
      for i in str1'range loop
2325 62 zero_gravi
        tmp1_v(i) := char_to_lower_f(str1(i));
2326 61 zero_gravi
      end loop;
2327
      -- compare lowercase strings --
2328
      if (tmp0_v = tmp1_v) then
2329
        return true;
2330
      else
2331
        return false;
2332
      end if;
2333
    end if;
2334
  end function str_equal_f;
2335
 
2336 63 zero_gravi
  -- Function: Population count (number of set bits) ----------------------------------------
2337
  -- -------------------------------------------------------------------------------------------
2338
  function popcount_f(input : std_ulogic_vector) return natural is
2339
    variable cnt_v : natural range 0 to input'length;
2340
  begin
2341
    cnt_v := 0;
2342
    for i in input'length-1 downto 0 loop
2343
      if (input(i) = '1') then
2344
        cnt_v := cnt_v + 1;
2345
      end if;
2346
    end loop; -- i
2347
    return cnt_v;
2348
  end function popcount_f;
2349
 
2350
  -- Function: Count leading zeros ----------------------------------------------------------
2351
  -- -------------------------------------------------------------------------------------------
2352
  function leading_zeros_f(input : std_ulogic_vector) return natural is
2353
    variable cnt_v : natural range 0 to input'length;
2354
  begin
2355
    cnt_v := 0;
2356
    for i in input'length-1 downto 0 loop
2357
      if (input(i) = '0') then
2358
        cnt_v := cnt_v + 1;
2359
      else
2360
        exit;
2361
      end if;
2362
    end loop; -- i
2363
    return cnt_v;
2364
  end function leading_zeros_f;
2365
 
2366 61 zero_gravi
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2367
  -- -------------------------------------------------------------------------------------------
2368
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2369
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2370
    variable mem_v : mem32_t(0 to depth-1);
2371
  begin
2372 62 zero_gravi
    mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2373
    if (init'length > depth) then
2374
      return mem_v;
2375
    end if;
2376
    for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2377
      mem_v(idx_v) := init(idx_v);
2378
    end loop; -- idx_v
2379 61 zero_gravi
    return mem_v;
2380
  end function mem32_init_f;
2381
 
2382 62 zero_gravi
 
2383 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.