OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- CPU core --
48 66 zero_gravi
  constant dedicated_reset_c : boolean := false; -- use dedicated hardware reset value for UNCRITICAL registers (FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value)
49 56 zero_gravi
  constant cp_timeout_en_c   : boolean := false; -- auto-terminate pending co-processor operations after 256 cycles (for debugging only), default = false
50 40 zero_gravi
 
51 54 zero_gravi
  -- "critical" number of implemented PMP regions --
52
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces
53
  -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
54
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
55 47 zero_gravi
 
56 69 zero_gravi
  -- "response time window" for processor-internal modules --
57 57 zero_gravi
  constant max_proc_int_response_time_c : natural := 15; -- cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
58
 
59 59 zero_gravi
  -- jtag tap - identifier --
60
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
61
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
62
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
63
 
64 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
65
  -- -------------------------------------------------------------------------------------------
66 62 zero_gravi
  constant data_width_c : natural := 32; -- native data path width - do not change!
67 70 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01060600"; -- no touchy!
68 62 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
69 61 zero_gravi
 
70 69 zero_gravi
  -- Check if we're inside the Matrix -------------------------------------------------------
71
  -- -------------------------------------------------------------------------------------------
72
  constant is_simulation_c : boolean := false -- seems like we're on real hardware
73
-- pragma translate_off
74
-- synthesis translate_off
75
-- synthesis synthesis_off
76
-- RTL_SYNTHESIS OFF
77
  or true -- this MIGHT be a simulation
78
-- RTL_SYNTHESIS ON
79
-- synthesis synthesis_on
80
-- synthesis translate_on
81
-- pragma translate_on
82
  ;
83
 
84 61 zero_gravi
  -- External Interface Types ---------------------------------------------------------------
85
  -- -------------------------------------------------------------------------------------------
86
  type sdata_8x32_t  is array (0 to 7)  of std_ulogic_vector(31 downto 0);
87
  type sdata_8x32r_t is array (0 to 7)  of std_logic_vector(31 downto 0); -- resolved type
88
 
89
  -- Internal Interface Types ---------------------------------------------------------------
90
  -- -------------------------------------------------------------------------------------------
91
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
92
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
93
  type cp_data_if_t  is array (0 to 3)  of std_ulogic_vector(data_width_c-1 downto 0);
94
 
95
  -- Internal Memory Types Configuration Types ----------------------------------------------
96
  -- -------------------------------------------------------------------------------------------
97
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
98
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
99
 
100 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
101 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
102
  function index_size_f(input : natural) return natural;
103
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
104 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
105 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
106 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
107 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
108 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
109 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
110
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
111
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
112 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
113 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
114 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
115 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
116 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
117 62 zero_gravi
  function char_to_lower_f(ch : character) return character;
118 61 zero_gravi
  function str_equal_f(str0 : string; str1 : string) return boolean;
119 63 zero_gravi
  function popcount_f(input : std_ulogic_vector) return natural;
120
  function leading_zeros_f(input : std_ulogic_vector) return natural;
121 61 zero_gravi
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
122 2 zero_gravi
 
123 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
124 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
125 70 zero_gravi
  constant def_rst_val_c : std_ulogic; -- Use a deferred constant, prevents compile error with Questa, see IEEE 1076-2008 14.4.2.1
126 56 zero_gravi
 
127 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
128
  -- -------------------------------------------------------------------------------------------
129 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
130 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
131
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
132 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
133 2 zero_gravi
 
134 64 zero_gravi
  -- !!! IMPORTANT: The base address of each component/module has to be aligned to the !!!
135
  -- !!! total size of the module's occupied address space. The occupied address space !!!
136
  -- !!! has to be a power of two (minimum 4 bytes). Address spaces must not overlap.  !!!
137
 
138 23 zero_gravi
  -- Internal Bootloader ROM --
139 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
140 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
141 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
142 23 zero_gravi
 
143 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
144
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
145 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
146 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
147
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
148
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
149
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
150
 
151 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
152 70 zero_gravi
  -- Control register(s) (including the device-enable flag) should be located at the base address of each device
153 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
154 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
155 2 zero_gravi
 
156 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
157 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
158 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
159 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
160
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
161
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
162
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
163
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
164
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
165
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
166
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
167
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
168
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
169
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
170
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
171
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
172
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
173
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
174
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
175
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
176
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
177
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
178
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
179
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
180
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
181
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
182
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
183
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
184
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
185
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
186
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
187
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
188
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
189
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
190
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
191 47 zero_gravi
 
192 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
193
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
194 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
195 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
196
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
197
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
198
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
199
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
200
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
201
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
202
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
203
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
204
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
205
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
206
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
207
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
208
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
209
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
210
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
211
 
212 63 zero_gravi
  -- Stream Link Interface (SLINK) --
213 61 zero_gravi
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
214
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
215 60 zero_gravi
 
216
  -- reserved --
217
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
218 63 zero_gravi
--constant reserved_size_c      : natural := 16*4; -- module's address space size in bytes
219 60 zero_gravi
 
220 70 zero_gravi
  -- Execute In Place Module (XIP) --
221
  constant xip_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40"; -- base address
222
  constant xip_size_c           : natural := 4*4; -- module's address space size in bytes
223
  constant xip_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40";
224
  constant xip_map_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff44";
225
  constant xip_data_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff48";
226
  constant xip_data_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff4C";
227
 
228 63 zero_gravi
  -- reserved --
229 70 zero_gravi
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff50"; -- base address
230
--constant reserved_size_c      : natural := 4*4; -- module's address space size in bytes
231 63 zero_gravi
 
232 67 zero_gravi
  -- General Purpose Timer (GPTMR) --
233
  constant gptmr_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; -- base address
234
  constant gptmr_size_c         : natural := 4*4; -- module's address space size in bytes
235
  constant gptmr_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60";
236
  constant gptmr_thres_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff64";
237
  constant gptmr_count_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff68";
238
--constant gptmr_reserve_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff6c";
239 63 zero_gravi
 
240
  -- reserved --
241
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff70"; -- base address
242
--constant reserved_size_c      : natural := 2*4; -- module's address space size in bytes
243
 
244
  -- reserved --
245
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff78"; -- base address
246 66 zero_gravi
--constant reserved_size_c      : natural := 1*4; -- module's address space size in bytes
247 63 zero_gravi
 
248 66 zero_gravi
  -- Bus Access Monitor (BUSKEEPER) --
249
  constant buskeeper_base_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff7c"; -- base address
250
  constant buskeeper_size_c     : natural := 1*4; -- module's address space size in bytes
251
 
252 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
253
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
254
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
255
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
256
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
257
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
258 62 zero_gravi
--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
259 2 zero_gravi
 
260
  -- Machine System Timer (MTIME) --
261 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
262 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
263 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
264
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
265
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
266
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
267 2 zero_gravi
 
268 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
269 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
270 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
271 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
272
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
273 2 zero_gravi
 
274
  -- Serial Peripheral Interface (SPI) --
275 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
276 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
277 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
278
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
279 2 zero_gravi
 
280
  -- Two Wire Interface (TWI) --
281 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
282 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
283 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
284
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
285 2 zero_gravi
 
286 61 zero_gravi
  -- True Random Number Generator (TRNG) --
287
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
288
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
289
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
290
 
291
  -- Watch Dog Timer (WDT) --
292
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
293
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
294
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
295
 
296 63 zero_gravi
  -- General Purpose Input/Output Controller (GPIO) --
297 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
298
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
299
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
300
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
301
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
302
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
303 2 zero_gravi
 
304 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
305 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
306 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
307 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
308
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
309 50 zero_gravi
 
310 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
311 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
312 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
313 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
314
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
315 12 zero_gravi
 
316 23 zero_gravi
  -- System Information Memory (SYSINFO) --
317 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
318 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
319 12 zero_gravi
 
320 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
321 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
322
  -- register file --
323 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
324
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
325
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
326
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
327
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
328
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
329
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
330
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
331
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
332
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
333
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
334 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
335
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
336
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
337
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
338
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
339 49 zero_gravi
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
340 2 zero_gravi
  -- alu --
341 68 zero_gravi
  constant ctrl_alu_op0_c       : natural := 17; -- ALU operation select bit 0
342
  constant ctrl_alu_op1_c       : natural := 18; -- ALU operation select bit 1
343
  constant ctrl_alu_op2_c       : natural := 19; -- ALU operation select bit 2
344 62 zero_gravi
  constant ctrl_alu_func0_c     : natural := 20; -- ALU function select command bit 0
345
  constant ctrl_alu_func1_c     : natural := 21; -- ALU function select command bit 1
346 68 zero_gravi
  constant ctrl_alu_opa_mux_c   : natural := 22; -- operand A select (0=rs1, 1=PC)
347
  constant ctrl_alu_opb_mux_c   : natural := 23; -- operand B select (0=rs2, 1=IMM)
348
  constant ctrl_alu_unsigned_c  : natural := 24; -- is unsigned ALU operation
349
  constant ctrl_alu_shift_dir_c : natural := 25; -- shift direction (0=left, 1=right)
350
  constant ctrl_alu_shift_ar_c  : natural := 26; -- is arithmetic shift
351
  constant ctrl_alu_frm0_c      : natural := 27; -- FPU rounding mode bit 0
352
  constant ctrl_alu_frm1_c      : natural := 28; -- FPU rounding mode bit 1
353
  constant ctrl_alu_frm2_c      : natural := 29; -- FPU rounding mode bit 2
354 2 zero_gravi
  -- bus interface --
355 68 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 30; -- transfer size lsb (00=byte, 01=half-word)
356
  constant ctrl_bus_size_msb_c  : natural := 31; -- transfer size msb (10=word, 11=?)
357
  constant ctrl_bus_rd_c        : natural := 32; -- read data request
358
  constant ctrl_bus_wr_c        : natural := 33; -- write data request
359
  constant ctrl_bus_if_c        : natural := 34; -- instruction fetch request
360
  constant ctrl_bus_mo_we_c     : natural := 35; -- memory address and data output register write enable
361
  constant ctrl_bus_mi_we_c     : natural := 36; -- memory data input register write enable
362
  constant ctrl_bus_unsigned_c  : natural := 37; -- is unsigned load
363
  constant ctrl_bus_ierr_ack_c  : natural := 38; -- acknowledge instruction fetch bus exceptions
364
  constant ctrl_bus_derr_ack_c  : natural := 39; -- acknowledge data access bus exceptions
365
  constant ctrl_bus_fence_c     : natural := 40; -- executed fence operation
366
  constant ctrl_bus_fencei_c    : natural := 41; -- executed fencei operation
367
  constant ctrl_bus_lock_c      : natural := 42; -- make atomic/exclusive access lock
368
  constant ctrl_bus_de_lock_c   : natural := 43; -- remove atomic/exclusive access 
369
  constant ctrl_bus_ch_lock_c   : natural := 44; -- evaluate atomic/exclusive lock (SC operation)
370 26 zero_gravi
  -- co-processors --
371 68 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 45; -- cp select ID lsb
372
  constant ctrl_cp_id_msb_c     : natural := 46; -- cp select ID msb
373 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
374 68 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 47; -- funct3 bit 0
375
  constant ctrl_ir_funct3_1_c   : natural := 48; -- funct3 bit 1
376
  constant ctrl_ir_funct3_2_c   : natural := 49; -- funct3 bit 2
377
  constant ctrl_ir_funct12_0_c  : natural := 50; -- funct12 bit 0
378
  constant ctrl_ir_funct12_1_c  : natural := 51; -- funct12 bit 1
379
  constant ctrl_ir_funct12_2_c  : natural := 52; -- funct12 bit 2
380
  constant ctrl_ir_funct12_3_c  : natural := 53; -- funct12 bit 3
381
  constant ctrl_ir_funct12_4_c  : natural := 54; -- funct12 bit 4
382
  constant ctrl_ir_funct12_5_c  : natural := 55; -- funct12 bit 5
383
  constant ctrl_ir_funct12_6_c  : natural := 56; -- funct12 bit 6
384
  constant ctrl_ir_funct12_7_c  : natural := 57; -- funct12 bit 7
385
  constant ctrl_ir_funct12_8_c  : natural := 58; -- funct12 bit 8
386
  constant ctrl_ir_funct12_9_c  : natural := 59; -- funct12 bit 9
387
  constant ctrl_ir_funct12_10_c : natural := 60; -- funct12 bit 10
388
  constant ctrl_ir_funct12_11_c : natural := 61; -- funct12 bit 11
389
  constant ctrl_ir_opcode7_0_c  : natural := 62; -- opcode7 bit 0
390
  constant ctrl_ir_opcode7_1_c  : natural := 63; -- opcode7 bit 1
391
  constant ctrl_ir_opcode7_2_c  : natural := 64; -- opcode7 bit 2
392
  constant ctrl_ir_opcode7_3_c  : natural := 65; -- opcode7 bit 3
393
  constant ctrl_ir_opcode7_4_c  : natural := 66; -- opcode7 bit 4
394
  constant ctrl_ir_opcode7_5_c  : natural := 67; -- opcode7 bit 5
395
  constant ctrl_ir_opcode7_6_c  : natural := 68; -- opcode7 bit 6
396 47 zero_gravi
  -- CPU status --
397 68 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 69; -- privilege level lsb
398
  constant ctrl_priv_lvl_msb_c  : natural := 70; -- privilege level msb
399
  constant ctrl_sleep_c         : natural := 71; -- set when CPU is in sleep mode
400
  constant ctrl_trap_c          : natural := 72; -- set when CPU is entering trap execution
401
  constant ctrl_debug_running_c : natural := 73; -- CPU is in debug mode when set
402 2 zero_gravi
  -- control bus size --
403 68 zero_gravi
  constant ctrl_width_c         : natural := 74; -- control bus size
404 2 zero_gravi
 
405 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
406 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
407 47 zero_gravi
  constant cmp_equal_c : natural := 0;
408
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
409 2 zero_gravi
 
410
  -- RISC-V Opcode Layout -------------------------------------------------------------------
411
  -- -------------------------------------------------------------------------------------------
412
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
413
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
414
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
415
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
416
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
417
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
418
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
419
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
420
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
421
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
422
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
423
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
424
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
425
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
426
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
427
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
428
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
429
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
430
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
431
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
432 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
433
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
434 2 zero_gravi
 
435
  -- RISC-V Opcodes -------------------------------------------------------------------------
436
  -- -------------------------------------------------------------------------------------------
437
  -- alu --
438
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
439
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
440
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
441
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
442
  -- control flow --
443
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
444 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
445 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
446
  -- memory access --
447
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
448
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
449
  -- system/csr --
450 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
451 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
452 52 zero_gravi
  -- atomic memory access (A) --
453 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
454 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
455 66 zero_gravi
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single operand instruction
456 2 zero_gravi
 
457
  -- RISC-V Funct3 --------------------------------------------------------------------------
458
  -- -------------------------------------------------------------------------------------------
459
  -- control flow --
460
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
461
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
462
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
463
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
464
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
465
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
466
  -- memory access --
467
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
468
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
469
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
470
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
471
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
472
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
473
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
474
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
475
  -- alu --
476
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
477
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
478
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
479
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
480
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
481
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
482
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
483
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
484
  -- system/csr --
485 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
486 2 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
487
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
488
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
489
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
490
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
491
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
492 8 zero_gravi
  -- fence --
493
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
494 66 zero_gravi
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instruction stream sync
495 2 zero_gravi
 
496 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
497 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
498
  -- system --
499
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
500
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
501
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
502
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
503 59 zero_gravi
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- DRET
504 11 zero_gravi
 
505 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
506
  -- -------------------------------------------------------------------------------------------
507
  -- atomic operations --
508
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
509
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
510
 
511 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
512 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
513 54 zero_gravi
  -- formats --
514
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
515
  constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
516
  constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
517
  constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
518 52 zero_gravi
 
519 54 zero_gravi
  -- number class flags --
520
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
521
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
522
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
523
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
524
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
525
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
526
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
527
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
528
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
529
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
530
 
531
  -- exception flags --
532
  constant fp_exc_nv_c : natural := 0; -- invalid operation
533
  constant fp_exc_dz_c : natural := 1; -- divide by zero
534
  constant fp_exc_of_c : natural := 2; -- overflow
535
  constant fp_exc_uf_c : natural := 3; -- underflow
536
  constant fp_exc_nx_c : natural := 4; -- inexact
537
 
538
  -- special values (single-precision) --
539
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
540
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
541
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
542
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
543
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
544
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
545
 
546 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
547
  -- -------------------------------------------------------------------------------------------
548 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
549
  -- user floating-point CSRs --
550 68 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(09 downto 0) := x"00" & "00"; -- floating point
551 52 zero_gravi
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
552
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
553
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
554 56 zero_gravi
  -- machine trap setup --
555 63 zero_gravi
  constant csr_class_setup_c    : std_ulogic_vector(08 downto 0) := x"30" & '0'; -- trap setup
556 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
557
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
558
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
559
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
560
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
561 62 zero_gravi
  --
562
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
563 64 zero_gravi
  -- machine configuration --
564
  constant csr_class_envcfg_c   : std_ulogic_vector(06 downto 0) := x"3" & "000"; -- configuration
565
  constant csr_menvcfg_c        : std_ulogic_vector(11 downto 0) := x"30a";
566
  constant csr_menvcfgh_c       : std_ulogic_vector(11 downto 0) := x"31a";
567 56 zero_gravi
  -- machine counter setup --
568
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
569 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
570
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
571
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
572
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
573
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
574
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
575
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
576
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
577
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
578
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
579
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
580
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
581
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
582
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
583
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
584
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
585
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
586
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
587
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
588
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
589
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
590
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
591
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
592
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
593
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
594
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
595
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
596
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
597
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
598
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
599 56 zero_gravi
  -- machine trap handling --
600 69 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
601 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
602
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
603
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
604
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
605
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
606 56 zero_gravi
  -- physical memory protection - configuration --
607 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
608 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
609
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
610
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
611
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
612
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
613
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
614
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
615
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
616
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
617
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
618
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
619
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
620
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
621
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
622
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
623
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
624 56 zero_gravi
  -- physical memory protection - address --
625 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
626
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
627
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
628
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
629
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
630
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
631
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
632
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
633
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
634
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
635
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
636
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
637
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
638
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
639
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
640
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
641
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
642
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
643
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
644
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
645
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
646
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
647
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
648
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
649
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
650
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
651
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
652
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
653
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
654
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
655
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
656
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
657
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
658
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
659
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
660
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
661
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
662
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
663
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
664
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
665
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
666
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
667
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
668
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
669
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
670
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
671
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
672
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
673
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
674
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
675
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
676
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
677
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
678
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
679
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
680
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
681
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
682
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
683
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
684
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
685
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
686
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
687
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
688
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
689 59 zero_gravi
  -- debug mode registers --
690
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
691
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
692
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
693
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
694 56 zero_gravi
  -- machine counters/timers --
695 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
696
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
697
  --
698
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
699
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
700
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
701
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
702
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
703
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
704
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
705
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
706
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
707
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
708
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
709
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
710
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
711
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
712
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
713
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
714
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
715
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
716
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
717
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
718
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
719
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
720
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
721
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
722
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
723
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
724
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
725
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
726
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
727
  --
728
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
729
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
730
  --
731
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
732
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
733
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
734
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
735
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
736
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
737
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
738
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
739
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
740
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
741
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
742
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
743
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
744
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
745
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
746
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
747
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
748
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
749
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
750
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
751
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
752
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
753
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
754
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
755
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
756
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
757
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
758
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
759
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
760
 
761 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
762
  -- user counters/timers --
763 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
764
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
765
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
766
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
767
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
768
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
769 56 zero_gravi
  -- machine information registers --
770 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
771
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
772
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
773
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
774 62 zero_gravi
  constant csr_mconfigptr_c     : std_ulogic_vector(11 downto 0) := x"f15";
775 42 zero_gravi
 
776 44 zero_gravi
  -- Co-Processor IDs -----------------------------------------------------------------------
777 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
778 63 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(1 downto 0) := "00"; -- shift operations (base ISA)
779
  constant cp_sel_muldiv_c   : std_ulogic_vector(1 downto 0) := "01"; -- multiplication/division operations ('M' extensions)
780
  constant cp_sel_bitmanip_c : std_ulogic_vector(1 downto 0) := "10"; -- bit manipulation ('B' extensions)
781 61 zero_gravi
  constant cp_sel_fpu_c      : std_ulogic_vector(1 downto 0) := "11"; -- floating-point unit ('Zfinx' extension)
782 2 zero_gravi
 
783
  -- ALU Function Codes ---------------------------------------------------------------------
784
  -- -------------------------------------------------------------------------------------------
785 68 zero_gravi
  -- ALU core [DO NOT CHANGE ENCODING!] --
786
  constant alu_op_add_c     : std_ulogic_vector(2 downto 0) := "000"; -- alu_result <= A + B
787
  constant alu_op_sub_c     : std_ulogic_vector(2 downto 0) := "001"; -- alu_result <= A - B
788
--constant alu_op_mova_c    : std_ulogic_vector(2 downto 0) := "010"; -- alu_result <= A (rs1)
789
  constant alu_op_slt_c     : std_ulogic_vector(2 downto 0) := "011"; -- alu_result <= A < B
790
  constant alu_op_movb_c    : std_ulogic_vector(2 downto 0) := "100"; -- alu_result <= B
791
  constant alu_op_xor_c     : std_ulogic_vector(2 downto 0) := "101"; -- alu_result <= A xor B
792
  constant alu_op_or_c      : std_ulogic_vector(2 downto 0) := "110"; -- alu_result <= A or B
793
  constant alu_op_and_c     : std_ulogic_vector(2 downto 0) := "111"; -- alu_result <= A and B
794
  -- function select (actual ALU result) --
795
  constant alu_func_core_c  : std_ulogic_vector(1 downto 0) := "00"; -- r <= alu_result
796
  constant alu_func_nxpc_c  : std_ulogic_vector(1 downto 0) := "01"; -- r <= next_PC
797
  constant alu_func_csrr_c  : std_ulogic_vector(1 downto 0) := "10"; -- r <= CSR read
798
  constant alu_func_copro_c : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (multi-cycle)
799 2 zero_gravi
 
800 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
801
  -- -------------------------------------------------------------------------------------------
802 64 zero_gravi
  -- MSB:   1 = async exception (IRQ), 0 = sync exception (e.g. ebreak)
803
  -- MSB-1: 1 = entry to debug mode, 0 = normal trapping
804 48 zero_gravi
  -- RISC-V compliant sync. exceptions --
805 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
806
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
807
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
808
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
809
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
810
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
811
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
812
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
813
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
814
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
815 48 zero_gravi
  -- RISC-V compliant interrupts (async. exceptions) --
816 59 zero_gravi
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
817
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
818
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
819 48 zero_gravi
  -- NEORV32-specific (custom) interrupts (async. exceptions) --
820 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
821
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
822
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
823
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
824
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
825
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
826
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
827
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
828
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
829
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
830
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
831
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
832
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
833
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
834
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
835
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
836
  -- entering debug mode - cause --
837
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- break instruction (sync / EXCEPTION)
838
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async / IRQ)
839
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async / IRQ)
840 12 zero_gravi
 
841 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
842
  -- -------------------------------------------------------------------------------------------
843
  -- exception source bits --
844 59 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instruction access fault
845
  constant exception_iillegal_c  : natural :=  1; -- illegal instruction
846
  constant exception_ialign_c    : natural :=  2; -- instruction address misaligned
847 47 zero_gravi
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
848
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
849
  constant exception_break_c     : natural :=  5; -- breakpoint
850
  constant exception_salign_c    : natural :=  6; -- store address misaligned
851
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
852
  constant exception_saccess_c   : natural :=  8; -- store access fault
853
  constant exception_laccess_c   : natural :=  9; -- load access fault
854 59 zero_gravi
  -- for debug mode only --
855
  constant exception_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
856 14 zero_gravi
  --
857 59 zero_gravi
  constant exception_width_c     : natural := 11; -- length of this list in bits
858 2 zero_gravi
  -- interrupt source bits --
859 64 zero_gravi
  constant interrupt_msw_irq_c   : natural :=  0; -- machine software interrupt
860
  constant interrupt_mtime_irq_c : natural :=  1; -- machine timer interrupt
861
  constant interrupt_mext_irq_c  : natural :=  2; -- machine external interrupt
862
  constant interrupt_firq_0_c    : natural :=  3; -- fast interrupt channel 0
863
  constant interrupt_firq_1_c    : natural :=  4; -- fast interrupt channel 1
864
  constant interrupt_firq_2_c    : natural :=  5; -- fast interrupt channel 2
865
  constant interrupt_firq_3_c    : natural :=  6; -- fast interrupt channel 3
866
  constant interrupt_firq_4_c    : natural :=  7; -- fast interrupt channel 4
867
  constant interrupt_firq_5_c    : natural :=  8; -- fast interrupt channel 5
868
  constant interrupt_firq_6_c    : natural :=  9; -- fast interrupt channel 6
869
  constant interrupt_firq_7_c    : natural := 10; -- fast interrupt channel 7
870
  constant interrupt_firq_8_c    : natural := 11; -- fast interrupt channel 8
871
  constant interrupt_firq_9_c    : natural := 12; -- fast interrupt channel 9
872
  constant interrupt_firq_10_c   : natural := 13; -- fast interrupt channel 10
873
  constant interrupt_firq_11_c   : natural := 14; -- fast interrupt channel 11
874
  constant interrupt_firq_12_c   : natural := 15; -- fast interrupt channel 12
875
  constant interrupt_firq_13_c   : natural := 16; -- fast interrupt channel 13
876
  constant interrupt_firq_14_c   : natural := 17; -- fast interrupt channel 14
877
  constant interrupt_firq_15_c   : natural := 18; -- fast interrupt channel 15
878 59 zero_gravi
  -- for debug mode only --
879 64 zero_gravi
  constant interrupt_db_halt_c   : natural := 19; -- enter debug mode via external halt request ("async IRQ")
880
  constant interrupt_db_step_c   : natural := 20; -- enter debug mode via single-stepping ("async IRQ")
881 14 zero_gravi
  --
882 64 zero_gravi
  constant interrupt_width_c     : natural := 21; -- length of this list in bits
883 2 zero_gravi
 
884 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
885
  -- -------------------------------------------------------------------------------------------
886 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
887
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
888 15 zero_gravi
 
889 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
890
  -- -------------------------------------------------------------------------------------------
891
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
892 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
893 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
894
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
895
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
896
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
897 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
898
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
899
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
900
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
901
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
902
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
903
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
904
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
905
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
906 42 zero_gravi
  --
907 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
908 42 zero_gravi
 
909 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
910 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
911
  constant clk_div2_c    : natural := 0;
912
  constant clk_div4_c    : natural := 1;
913
  constant clk_div8_c    : natural := 2;
914
  constant clk_div64_c   : natural := 3;
915
  constant clk_div128_c  : natural := 4;
916
  constant clk_div1024_c : natural := 5;
917
  constant clk_div2048_c : natural := 6;
918
  constant clk_div4096_c : natural := 7;
919
 
920
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
921
  -- -------------------------------------------------------------------------------------------
922
  component neorv32_top
923
    generic (
924
      -- General --
925 62 zero_gravi
      CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
926 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
927 62 zero_gravi
      INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
928 59 zero_gravi
      -- On-Chip Debugger (OCD) --
929
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
930 2 zero_gravi
      -- RISC-V CPU Extensions --
931 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
932 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
933 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
934 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
935 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
936 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
937 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
938 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
939 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
940
      CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
941 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
942 62 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
943 19 zero_gravi
      -- Extension Options --
944 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
945
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
946 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
947 62 zero_gravi
      CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
948 15 zero_gravi
      -- Physical Memory Protection (PMP) --
949 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
950
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
951
      -- Hardware Performance Monitors (HPM) --
952 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
953 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
954 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
955 62 zero_gravi
      MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
956 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
957 61 zero_gravi
      -- Internal Data memory (DMEM) --
958 62 zero_gravi
      MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
959 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
960 70 zero_gravi
      -- Internal Instruction Cache (iCACHE) --
961 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
962 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
963
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
964 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
965 61 zero_gravi
      -- External memory interface (WISHBONE) --
966 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
967 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
968 62 zero_gravi
      MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
969
      MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
970
      MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
971 61 zero_gravi
      -- Stream link interface (SLINK) --
972
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
973
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
974
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
975
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
976
      -- External Interrupts Controller (XIRQ) --
977
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
978 62 zero_gravi
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
979
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
980 2 zero_gravi
      -- Processor peripherals --
981 62 zero_gravi
      IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
982
      IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
983
      IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
984 65 zero_gravi
      IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
985
      IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
986 62 zero_gravi
      IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
987 65 zero_gravi
      IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
988
      IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
989 62 zero_gravi
      IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
990
      IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
991
      IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
992
      IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
993 44 zero_gravi
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
994 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
995 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
996 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
997
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
998 62 zero_gravi
      IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
999 67 zero_gravi
      IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
1000 70 zero_gravi
      IO_GPTMR_EN                  : boolean := false;  -- implement general purpose timer (GPTMR)?
1001
      IO_XIP_EN                    : boolean := false   -- implement execute in place module (XIP)?
1002 2 zero_gravi
    );
1003
    port (
1004
      -- Global control --
1005 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1006
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1007 59 zero_gravi
      -- JTAG on-chip debugger interface --
1008 62 zero_gravi
      jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
1009
      jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
1010
      jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
1011 61 zero_gravi
      jtag_tdo_o     : out std_ulogic;        -- serial data output
1012 62 zero_gravi
      jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
1013 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
1014 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
1015
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
1016 62 zero_gravi
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
1017 61 zero_gravi
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
1018
      wb_we_o        : out std_ulogic; -- read/write
1019
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
1020
      wb_stb_o       : out std_ulogic; -- strobe
1021
      wb_cyc_o       : out std_ulogic; -- valid cycle
1022
      wb_lock_o      : out std_ulogic; -- exclusive access request
1023 62 zero_gravi
      wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
1024
      wb_err_i       : in  std_ulogic := 'L'; -- transfer error
1025 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
1026 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
1027
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
1028 70 zero_gravi
      -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
1029
      xip_csn_o      : out std_ulogic; -- chip-select, low-active
1030
      xip_clk_o      : out std_ulogic; -- serial clock
1031
      xip_sdi_i      : in  std_ulogic := 'L'; -- device data input
1032
      xip_sdo_o      : out std_ulogic; -- controller data output
1033 61 zero_gravi
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
1034
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1035
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1036 62 zero_gravi
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
1037 61 zero_gravi
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
1038 62 zero_gravi
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
1039
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
1040 61 zero_gravi
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
1041 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
1042 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
1043 62 zero_gravi
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
1044 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
1045 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
1046 62 zero_gravi
      uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
1047 61 zero_gravi
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
1048 62 zero_gravi
      uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
1049 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
1050 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
1051 62 zero_gravi
      uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
1052 61 zero_gravi
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
1053 62 zero_gravi
      uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
1054 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
1055 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
1056
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
1057 62 zero_gravi
      spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
1058 61 zero_gravi
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
1059 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
1060 62 zero_gravi
      twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
1061
      twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
1062 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
1063 70 zero_gravi
      pwm_o          : out std_ulogic_vector(59 downto 0); -- pwm channels
1064 47 zero_gravi
      -- Custom Functions Subsystem IO --
1065 62 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
1066 61 zero_gravi
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1067 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1068 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1069 59 zero_gravi
      -- System time --
1070 62 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1071 61 zero_gravi
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1072
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1073 70 zero_gravi
      xirq_i         : in  std_ulogic_vector(31 downto 0) := (others => 'L'); -- IRQ channels
1074 61 zero_gravi
      -- CPU Interrupts --
1075 62 zero_gravi
      mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
1076
      msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
1077
      mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
1078 2 zero_gravi
    );
1079
  end component;
1080
 
1081 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1082
  -- -------------------------------------------------------------------------------------------
1083
  component neorv32_cpu
1084
    generic (
1085
      -- General --
1086 62 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1087
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1088
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1089 4 zero_gravi
      -- RISC-V CPU Extensions --
1090 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1091 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1092 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1093
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1094
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1095
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1096
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1097
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1098 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1099
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1100 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1101
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1102
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1103 19 zero_gravi
      -- Extension Options --
1104 62 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1105
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1106
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1107
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1108 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1109 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1110
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1111 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1112 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1113
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1114 4 zero_gravi
    );
1115
    port (
1116
      -- global control --
1117 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1118
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1119 47 zero_gravi
      sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
1120 69 zero_gravi
      debug_o        : out std_ulogic; -- cpu is in debug mode when set
1121 12 zero_gravi
      -- instruction bus interface --
1122
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1123 62 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1124 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1125
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1126
      i_bus_we_o     : out std_ulogic; -- write enable
1127
      i_bus_re_o     : out std_ulogic; -- read enable
1128 57 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- exclusive access request
1129 62 zero_gravi
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1130
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1131 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
1132 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1133 12 zero_gravi
      -- data bus interface --
1134
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1135 62 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1136 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1137
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1138
      d_bus_we_o     : out std_ulogic; -- write enable
1139
      d_bus_re_o     : out std_ulogic; -- read enable
1140 57 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- exclusive access request
1141 62 zero_gravi
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1142
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1143 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
1144 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1145 11 zero_gravi
      -- system time input from MTIME --
1146 62 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0); -- current system time
1147 14 zero_gravi
      -- interrupts (risc-v compliant) --
1148 62 zero_gravi
      msw_irq_i      : in  std_ulogic; -- machine software interrupt
1149
      mext_irq_i     : in  std_ulogic; -- machine external interrupt
1150
      mtime_irq_i    : in  std_ulogic; -- machine timer interrupt
1151 14 zero_gravi
      -- fast interrupts (custom) --
1152 62 zero_gravi
      firq_i         : in  std_ulogic_vector(15 downto 0);
1153 59 zero_gravi
      -- debug mode (halt) request --
1154 62 zero_gravi
      db_halt_req_i  : in  std_ulogic
1155 4 zero_gravi
    );
1156
  end component;
1157
 
1158 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1159
  -- -------------------------------------------------------------------------------------------
1160
  component neorv32_cpu_control
1161
    generic (
1162
      -- General --
1163 70 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1164 62 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1165
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1166 2 zero_gravi
      -- RISC-V CPU Extensions --
1167 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1168 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1169 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1170
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1171
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1172
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1173
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1174
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1175 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1176
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1177 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1178
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1179
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1180 56 zero_gravi
      -- Extension Options --
1181 62 zero_gravi
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1182
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1183 15 zero_gravi
      -- Physical memory protection (PMP) --
1184 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1185
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1186 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1187 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1188
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1189 2 zero_gravi
    );
1190
    port (
1191
      -- global control --
1192
      clk_i         : in  std_ulogic; -- global clock, rising edge
1193
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1194
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1195
      -- status input --
1196 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1197 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1198
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1199 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1200 2 zero_gravi
      -- data input --
1201
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1202
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1203 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1204 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1205 2 zero_gravi
      -- data output --
1206
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1207 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1208
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1209 68 zero_gravi
      next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction)
1210 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1211 52 zero_gravi
      -- FPU interface --
1212
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1213 59 zero_gravi
      -- debug mode (halt) request --
1214
      db_halt_req_i : in  std_ulogic;
1215 14 zero_gravi
      -- interrupts (risc-v compliant) --
1216
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1217
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1218 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1219 14 zero_gravi
      -- fast interrupts (custom) --
1220 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1221 11 zero_gravi
      -- system time input from MTIME --
1222
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1223 15 zero_gravi
      -- physical memory protection --
1224
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1225
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1226 2 zero_gravi
      -- bus access exceptions --
1227
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1228
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1229
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1230
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1231
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1232
      be_load_i     : in  std_ulogic; -- bus error on load data access
1233 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1234 2 zero_gravi
    );
1235
  end component;
1236
 
1237
  -- Component: CPU Register File -----------------------------------------------------------
1238
  -- -------------------------------------------------------------------------------------------
1239
  component neorv32_cpu_regfile
1240
    generic (
1241 62 zero_gravi
      CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension?
1242 2 zero_gravi
    );
1243
    port (
1244
      -- global control --
1245
      clk_i  : in  std_ulogic; -- global clock, rising edge
1246
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1247
      -- data input --
1248
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1249
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1250
      -- data output --
1251
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1252 65 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
1253 2 zero_gravi
    );
1254
  end component;
1255
 
1256
  -- Component: CPU ALU ---------------------------------------------------------------------
1257
  -- -------------------------------------------------------------------------------------------
1258
  component neorv32_cpu_alu
1259 11 zero_gravi
    generic (
1260 61 zero_gravi
      -- RISC-V CPU Extensions --
1261 66 zero_gravi
      CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
1262 62 zero_gravi
      CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
1263
      CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
1264
      CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1265 61 zero_gravi
      -- Extension Options --
1266 62 zero_gravi
      FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
1267
      FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
1268 11 zero_gravi
    );
1269 2 zero_gravi
    port (
1270
      -- global control --
1271
      clk_i       : in  std_ulogic; -- global clock, rising edge
1272
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1273
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1274
      -- data input --
1275
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1276
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1277 68 zero_gravi
      pc_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- current PC
1278
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- next PC
1279 2 zero_gravi
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1280 61 zero_gravi
      csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1281 2 zero_gravi
      -- data output --
1282 65 zero_gravi
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
1283 2 zero_gravi
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1284 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1285 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1286 2 zero_gravi
      -- status --
1287 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1288 2 zero_gravi
    );
1289
  end component;
1290
 
1291 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1292
  -- -------------------------------------------------------------------------------------------
1293
  component neorv32_cpu_cp_shifter
1294
    generic (
1295 62 zero_gravi
      FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations
1296 61 zero_gravi
    );
1297
    port (
1298
      -- global control --
1299
      clk_i   : in  std_ulogic; -- global clock, rising edge
1300
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1301
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1302
      start_i : in  std_ulogic; -- trigger operation
1303
      -- data input --
1304
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1305 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1306 61 zero_gravi
      -- result and status --
1307
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1308
      valid_o : out std_ulogic -- data output valid
1309
    );
1310
  end component;
1311
 
1312 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1313 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1314
  component neorv32_cpu_cp_muldiv
1315 19 zero_gravi
    generic (
1316 62 zero_gravi
      FAST_MUL_EN : boolean; -- use DSPs for faster multiplication
1317
      DIVISION_EN : boolean  -- implement divider hardware
1318 19 zero_gravi
    );
1319 2 zero_gravi
    port (
1320
      -- global control --
1321
      clk_i   : in  std_ulogic; -- global clock, rising edge
1322
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1323
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1324 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1325 2 zero_gravi
      -- data input --
1326
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1327
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1328
      -- result and status --
1329
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1330
      valid_o : out std_ulogic -- data output valid
1331
    );
1332
  end component;
1333
 
1334 63 zero_gravi
  -- Component: CPU Co-Processor Bit-Manipulation Unit ('B' extension) ----------------------
1335
  -- -------------------------------------------------------------------------------------------
1336
  component neorv32_cpu_cp_bitmanip is
1337
    generic (
1338 66 zero_gravi
      FAST_SHIFT_EN : boolean  -- use barrel shifter for shift operations
1339 63 zero_gravi
    );
1340
    port (
1341
      -- global control --
1342
      clk_i   : in  std_ulogic; -- global clock, rising edge
1343
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1344
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1345
      start_i : in  std_ulogic; -- trigger operation
1346
      -- data input --
1347
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1348
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1349
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1350 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1351 63 zero_gravi
      -- result and status --
1352
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1353
      valid_o : out std_ulogic -- data output valid
1354
    );
1355
  end component;
1356
 
1357 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1358 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1359
  component neorv32_cpu_cp_fpu
1360
    port (
1361
      -- global control --
1362 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1363
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1364
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1365
      start_i  : in  std_ulogic; -- trigger operation
1366 52 zero_gravi
      -- data input --
1367 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1368 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1369
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1370 52 zero_gravi
      -- result and status --
1371 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1372
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1373
      valid_o  : out std_ulogic -- data output valid
1374 52 zero_gravi
    );
1375
  end component;
1376
 
1377 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1378
  -- -------------------------------------------------------------------------------------------
1379
  component neorv32_cpu_bus
1380
    generic (
1381 62 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
1382
      CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
1383 15 zero_gravi
      -- Physical memory protection (PMP) --
1384 62 zero_gravi
      PMP_NUM_REGIONS       : natural; -- number of regions (0..64)
1385
      PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1386 2 zero_gravi
    );
1387
    port (
1388
      -- global control --
1389 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1390
      rstn_i        : in  std_ulogic := '0'; -- global reset, low-active, async
1391
      ctrl_i        : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1392 12 zero_gravi
      -- cpu instruction fetch interface --
1393 70 zero_gravi
      fetch_pc_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1394
      instr_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1395
      i_wait_o      : out std_ulogic; -- wait for fetch to complete
1396 12 zero_gravi
      --
1397 70 zero_gravi
      ma_instr_o    : out std_ulogic; -- misaligned instruction address
1398
      be_instr_o    : out std_ulogic; -- bus error on instruction access
1399 12 zero_gravi
      -- cpu data access interface --
1400 70 zero_gravi
      addr_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1401
      wdata_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1402
      rdata_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1403
      mar_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1404
      d_wait_o      : out std_ulogic; -- wait for access to complete
1405 12 zero_gravi
      --
1406 70 zero_gravi
      excl_state_o  : out std_ulogic; -- atomic/exclusive access status
1407
      ma_load_o     : out std_ulogic; -- misaligned load data address
1408
      ma_store_o    : out std_ulogic; -- misaligned store data address
1409
      be_load_o     : out std_ulogic; -- bus error on load data access
1410
      be_store_o    : out std_ulogic; -- bus error on store data access
1411 15 zero_gravi
      -- physical memory protection --
1412 70 zero_gravi
      pmp_addr_i    : in  pmp_addr_if_t; -- addresses
1413
      pmp_ctrl_i    : in  pmp_ctrl_if_t; -- configs
1414 12 zero_gravi
      -- instruction bus --
1415 70 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1416
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1417
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1418
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1419
      i_bus_we_o    : out std_ulogic; -- write enable
1420
      i_bus_re_o    : out std_ulogic; -- read enable
1421
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1422
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1423
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1424
      i_bus_fence_o : out std_ulogic; -- fence operation
1425 12 zero_gravi
      -- data bus --
1426 70 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1427
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1428
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1429
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1430
      d_bus_we_o    : out std_ulogic; -- write enable
1431
      d_bus_re_o    : out std_ulogic; -- read enable
1432
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1433
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1434
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1435
      d_bus_fence_o : out std_ulogic  -- fence operation
1436 2 zero_gravi
    );
1437
  end component;
1438
 
1439 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1440
  -- -------------------------------------------------------------------------------------------
1441
  component neorv32_bus_keeper is
1442
    port (
1443
      -- host access --
1444 66 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1445
      rstn_i     : in  std_ulogic; -- global reset, low-active, async
1446
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1447
      rden_i     : in  std_ulogic; -- read enable
1448
      wren_i     : in  std_ulogic; -- write enable
1449 70 zero_gravi
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1450 66 zero_gravi
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1451
      ack_o      : out std_ulogic; -- transfer acknowledge
1452
      err_o      : out std_ulogic; -- transfer error
1453
      -- bus monitoring --
1454
      bus_addr_i : in  std_ulogic_vector(31 downto 0); -- address
1455
      bus_rden_i : in  std_ulogic; -- read enable
1456
      bus_wren_i : in  std_ulogic; -- write enable
1457
      bus_ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1458 68 zero_gravi
      bus_err_i  : in  std_ulogic; -- transfer error from bus system
1459
      bus_tmo_i  : in  std_ulogic; -- transfer timeout (external interface)
1460 70 zero_gravi
      bus_ext_i  : in  std_ulogic; -- external bus access
1461
      bus_xip_i  : in  std_ulogic  -- pending XIP access
1462 57 zero_gravi
    );
1463
  end component;
1464
 
1465 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1466 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1467 45 zero_gravi
  component neorv32_icache
1468 41 zero_gravi
    generic (
1469 62 zero_gravi
      ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2
1470
      ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2
1471
      ICACHE_NUM_SETS   : natural  -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1472 41 zero_gravi
    );
1473
    port (
1474
      -- global control --
1475 70 zero_gravi
      clk_i        : in  std_ulogic; -- global clock, rising edge
1476
      rstn_i       : in  std_ulogic; -- global reset, low-active, async
1477
      clear_i      : in  std_ulogic; -- cache clear
1478 41 zero_gravi
      -- host controller interface --
1479 70 zero_gravi
      host_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1480
      host_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1481
      host_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1482
      host_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1483
      host_we_i    : in  std_ulogic; -- write enable
1484
      host_re_i    : in  std_ulogic; -- read enable
1485
      host_ack_o   : out std_ulogic; -- bus transfer acknowledge
1486
      host_err_o   : out std_ulogic; -- bus transfer error
1487 41 zero_gravi
      -- peripheral bus interface --
1488 70 zero_gravi
      bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1489
      bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1490
      bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1491
      bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1492
      bus_we_o     : out std_ulogic; -- write enable
1493
      bus_re_o     : out std_ulogic; -- read enable
1494
      bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1495
      bus_err_i    : in  std_ulogic  -- bus transfer error
1496 41 zero_gravi
    );
1497
  end component;
1498
 
1499 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1500
  -- -------------------------------------------------------------------------------------------
1501
  component neorv32_busswitch
1502
    generic (
1503 62 zero_gravi
      PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
1504
      PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
1505 12 zero_gravi
    );
1506
    port (
1507
      -- global control --
1508 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1509
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1510 12 zero_gravi
      -- controller interface a --
1511 70 zero_gravi
      ca_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1512
      ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1513
      ca_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1514
      ca_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1515
      ca_bus_we_i    : in  std_ulogic; -- write enable
1516
      ca_bus_re_i    : in  std_ulogic; -- read enable
1517
      ca_bus_lock_i  : in  std_ulogic; -- exclusive access request
1518
      ca_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1519
      ca_bus_err_o   : out std_ulogic; -- bus transfer error
1520 12 zero_gravi
      -- controller interface b --
1521 70 zero_gravi
      cb_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1522
      cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1523
      cb_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1524
      cb_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1525
      cb_bus_we_i    : in  std_ulogic; -- write enable
1526
      cb_bus_re_i    : in  std_ulogic; -- read enable
1527
      cb_bus_lock_i  : in  std_ulogic; -- exclusive access request
1528
      cb_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1529
      cb_bus_err_o   : out std_ulogic; -- bus transfer error
1530 12 zero_gravi
      -- peripheral bus --
1531 70 zero_gravi
      p_bus_src_o    : out std_ulogic; -- access source: 0 = A, 1 = B
1532
      p_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1533
      p_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1534
      p_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1535
      p_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1536
      p_bus_we_o     : out std_ulogic; -- write enable
1537
      p_bus_re_o     : out std_ulogic; -- read enable
1538
      p_bus_lock_o   : out std_ulogic; -- exclusive access request
1539
      p_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1540
      p_bus_err_i    : in  std_ulogic  -- bus transfer error
1541 12 zero_gravi
    );
1542
  end component;
1543
 
1544 70 zero_gravi
  -- Component: CPU Compressed Instructions De-Compressor -----------------------------------
1545 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1546
  component neorv32_cpu_decompressor
1547
    port (
1548
      -- instruction input --
1549
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1550
      -- instruction output --
1551
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1552
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1553
    );
1554
  end component;
1555
 
1556
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1557
  -- -------------------------------------------------------------------------------------------
1558
  component neorv32_imem
1559
    generic (
1560 62 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0); -- memory base address
1561
      IMEM_SIZE    : natural; -- processor-internal instruction memory size in bytes
1562
      IMEM_AS_IROM : boolean  -- implement IMEM as pre-initialized read-only memory?
1563 2 zero_gravi
    );
1564
    port (
1565
      clk_i  : in  std_ulogic; -- global clock line
1566
      rden_i : in  std_ulogic; -- read enable
1567
      wren_i : in  std_ulogic; -- write enable
1568
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1569
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1570
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1571
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1572
      ack_o  : out std_ulogic -- transfer acknowledge
1573
    );
1574
  end component;
1575
 
1576
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1577
  -- -------------------------------------------------------------------------------------------
1578
  component neorv32_dmem
1579
    generic (
1580 62 zero_gravi
      DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address
1581
      DMEM_SIZE : natural -- processor-internal instruction memory size in bytes
1582 2 zero_gravi
    );
1583
    port (
1584
      clk_i  : in  std_ulogic; -- global clock line
1585
      rden_i : in  std_ulogic; -- read enable
1586
      wren_i : in  std_ulogic; -- write enable
1587
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1588
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1589
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1590
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1591
      ack_o  : out std_ulogic -- transfer acknowledge
1592
    );
1593
  end component;
1594
 
1595
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1596
  -- -------------------------------------------------------------------------------------------
1597
  component neorv32_boot_rom
1598 23 zero_gravi
    generic (
1599 62 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address
1600 23 zero_gravi
    );
1601 2 zero_gravi
    port (
1602
      clk_i  : in  std_ulogic; -- global clock line
1603
      rden_i : in  std_ulogic; -- read enable
1604
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1605
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1606
      ack_o  : out std_ulogic -- transfer acknowledge
1607
    );
1608
  end component;
1609
 
1610
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1611
  -- -------------------------------------------------------------------------------------------
1612
  component neorv32_mtime
1613
    port (
1614
      -- host access --
1615 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1616
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1617
      rden_i : in  std_ulogic; -- read enable
1618
      wren_i : in  std_ulogic; -- write enable
1619
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1620
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1621
      ack_o  : out std_ulogic; -- transfer acknowledge
1622 11 zero_gravi
      -- time output for CPU --
1623 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1624 2 zero_gravi
      -- interrupt --
1625 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1626 2 zero_gravi
    );
1627
  end component;
1628
 
1629
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1630
  -- -------------------------------------------------------------------------------------------
1631
  component neorv32_gpio
1632
    port (
1633
      -- host access --
1634
      clk_i  : in  std_ulogic; -- global clock line
1635
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1636
      rden_i : in  std_ulogic; -- read enable
1637
      wren_i : in  std_ulogic; -- write enable
1638
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1639
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1640
      ack_o  : out std_ulogic; -- transfer acknowledge
1641 70 zero_gravi
      err_o  : out std_ulogic; -- transfer error
1642 2 zero_gravi
      -- parallel io --
1643 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1644
      gpio_i : in  std_ulogic_vector(63 downto 0)
1645 2 zero_gravi
    );
1646
  end component;
1647
 
1648
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1649
  -- -------------------------------------------------------------------------------------------
1650
  component neorv32_wdt
1651 69 zero_gravi
    generic (
1652
      DEBUG_EN : boolean -- CPU debug mode implemented?
1653
    );
1654 2 zero_gravi
    port (
1655
      -- host access --
1656
      clk_i       : in  std_ulogic; -- global clock line
1657
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1658
      rden_i      : in  std_ulogic; -- read enable
1659
      wren_i      : in  std_ulogic; -- write enable
1660
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1661
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1662
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1663
      ack_o       : out std_ulogic; -- transfer acknowledge
1664 69 zero_gravi
      -- CPU in debug mode? --
1665
      cpu_debug_i : in  std_ulogic;
1666 2 zero_gravi
      -- clock generator --
1667
      clkgen_en_o : out std_ulogic; -- enable clock generator
1668
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1669
      -- timeout event --
1670
      irq_o       : out std_ulogic; -- timeout IRQ
1671
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1672
    );
1673
  end component;
1674
 
1675
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1676
  -- -------------------------------------------------------------------------------------------
1677
  component neorv32_uart
1678 50 zero_gravi
    generic (
1679 65 zero_gravi
      UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1)
1680
      UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1
1681
      UART_TX_FIFO : natural  -- TX fifo depth, has to be a power of two, min 1
1682 50 zero_gravi
    );
1683 2 zero_gravi
    port (
1684
      -- host access --
1685
      clk_i       : in  std_ulogic; -- global clock line
1686
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1687
      rden_i      : in  std_ulogic; -- read enable
1688
      wren_i      : in  std_ulogic; -- write enable
1689
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1690
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1691
      ack_o       : out std_ulogic; -- transfer acknowledge
1692
      -- clock generator --
1693
      clkgen_en_o : out std_ulogic; -- enable clock generator
1694
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1695
      -- com lines --
1696
      uart_txd_o  : out std_ulogic;
1697
      uart_rxd_i  : in  std_ulogic;
1698 51 zero_gravi
      -- hardware flow control --
1699
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1700
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1701 2 zero_gravi
      -- interrupts --
1702 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1703
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1704 2 zero_gravi
    );
1705
  end component;
1706
 
1707
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1708
  -- -------------------------------------------------------------------------------------------
1709
  component neorv32_spi
1710
    port (
1711
      -- host access --
1712
      clk_i       : in  std_ulogic; -- global clock line
1713
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1714
      rden_i      : in  std_ulogic; -- read enable
1715
      wren_i      : in  std_ulogic; -- write enable
1716
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1717
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1718
      ack_o       : out std_ulogic; -- transfer acknowledge
1719
      -- clock generator --
1720
      clkgen_en_o : out std_ulogic; -- enable clock generator
1721
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1722
      -- com lines --
1723 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1724
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1725
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1726 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1727
      -- interrupt --
1728 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1729 2 zero_gravi
    );
1730
  end component;
1731
 
1732
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1733
  -- -------------------------------------------------------------------------------------------
1734
  component neorv32_twi
1735
    port (
1736
      -- host access --
1737
      clk_i       : in  std_ulogic; -- global clock line
1738
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1739
      rden_i      : in  std_ulogic; -- read enable
1740
      wren_i      : in  std_ulogic; -- write enable
1741
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1742
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1743
      ack_o       : out std_ulogic; -- transfer acknowledge
1744
      -- clock generator --
1745
      clkgen_en_o : out std_ulogic; -- enable clock generator
1746
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1747
      -- com lines --
1748
      twi_sda_io  : inout std_logic; -- serial data line
1749
      twi_scl_io  : inout std_logic; -- serial clock line
1750
      -- interrupt --
1751 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1752 2 zero_gravi
    );
1753
  end component;
1754
 
1755
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1756
  -- -------------------------------------------------------------------------------------------
1757
  component neorv32_pwm
1758 60 zero_gravi
    generic (
1759 62 zero_gravi
      NUM_CHANNELS : natural -- number of PWM channels (0..60)
1760 60 zero_gravi
    );
1761 2 zero_gravi
    port (
1762
      -- host access --
1763
      clk_i       : in  std_ulogic; -- global clock line
1764
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1765
      rden_i      : in  std_ulogic; -- read enable
1766
      wren_i      : in  std_ulogic; -- write enable
1767
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1768
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1769
      ack_o       : out std_ulogic; -- transfer acknowledge
1770
      -- clock generator --
1771
      clkgen_en_o : out std_ulogic; -- enable clock generator
1772
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1773
      -- pwm output channels --
1774 70 zero_gravi
      pwm_o       : out std_ulogic_vector(59 downto 0)
1775 2 zero_gravi
    );
1776
  end component;
1777
 
1778
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1779
  -- -------------------------------------------------------------------------------------------
1780
  component neorv32_trng
1781
    port (
1782
      -- host access --
1783
      clk_i  : in  std_ulogic; -- global clock line
1784
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1785
      rden_i : in  std_ulogic; -- read enable
1786
      wren_i : in  std_ulogic; -- write enable
1787
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1788
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1789
      ack_o  : out std_ulogic  -- transfer acknowledge
1790
    );
1791
  end component;
1792
 
1793
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1794
  -- -------------------------------------------------------------------------------------------
1795
  component neorv32_wishbone
1796
    generic (
1797 23 zero_gravi
      -- Internal instruction memory --
1798 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1799
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1800 23 zero_gravi
      -- Internal data memory --
1801 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1802
      MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
1803
      -- Interface Configuration --
1804
      BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1805
      PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1806
      BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
1807
      ASYNC_RX          : boolean  -- use register buffer for RX data when false
1808 2 zero_gravi
    );
1809
    port (
1810
      -- global control --
1811 70 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1812
      rstn_i     : in  std_ulogic; -- global reset line, low-active
1813 2 zero_gravi
      -- host access --
1814 70 zero_gravi
      src_i      : in  std_ulogic; -- access type (0: data, 1:instruction)
1815
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1816
      rden_i     : in  std_ulogic; -- read enable
1817
      wren_i     : in  std_ulogic; -- write enable
1818
      ben_i      : in  std_ulogic_vector(03 downto 0); -- byte write enable
1819
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1820
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1821
      lock_i     : in  std_ulogic; -- exclusive access request
1822
      ack_o      : out std_ulogic; -- transfer acknowledge
1823
      err_o      : out std_ulogic; -- transfer error
1824
      tmo_o      : out std_ulogic; -- transfer timeout
1825
      priv_i     : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
1826
      ext_o      : out std_ulogic; -- active external access
1827
      -- xip configuration --
1828
      xip_en_i   : in  std_ulogic; -- XIP module enabled
1829
      xip_page_i : in  std_ulogic_vector(03 downto 0); -- XIP memory page
1830 2 zero_gravi
      -- wishbone interface --
1831 70 zero_gravi
      wb_tag_o   : out std_ulogic_vector(02 downto 0); -- request tag
1832
      wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
1833
      wb_dat_i   : in  std_ulogic_vector(31 downto 0); -- read data
1834
      wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
1835
      wb_we_o    : out std_ulogic; -- read/write
1836
      wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1837
      wb_stb_o   : out std_ulogic; -- strobe
1838
      wb_cyc_o   : out std_ulogic; -- valid cycle
1839
      wb_lock_o  : out std_ulogic; -- exclusive access request
1840
      wb_ack_i   : in  std_ulogic; -- transfer acknowledge
1841
      wb_err_i   : in  std_ulogic  -- transfer error
1842 2 zero_gravi
    );
1843
  end component;
1844
 
1845 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1846 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1847 47 zero_gravi
  component neorv32_cfs
1848
    generic (
1849 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1850 62 zero_gravi
      CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
1851
      CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
1852 23 zero_gravi
    );
1853 34 zero_gravi
    port (
1854
      -- host access --
1855
      clk_i       : in  std_ulogic; -- global clock line
1856
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1857
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1858
      rden_i      : in  std_ulogic; -- read enable
1859 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1860 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1861
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1862
      ack_o       : out std_ulogic; -- transfer acknowledge
1863 68 zero_gravi
      err_o       : out std_ulogic; -- transfer error
1864 34 zero_gravi
      -- clock generator --
1865
      clkgen_en_o : out std_ulogic; -- enable clock generator
1866 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1867
      -- interrupt --
1868
      irq_o       : out std_ulogic; -- interrupt request
1869
      -- custom io (conduit) --
1870 62 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs
1871
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs
1872 34 zero_gravi
    );
1873
  end component;
1874
 
1875 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1876 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1877 61 zero_gravi
  component neorv32_neoled
1878 62 zero_gravi
    generic (
1879
      FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two)
1880
    );
1881 49 zero_gravi
    port (
1882
      -- host access --
1883
      clk_i       : in  std_ulogic; -- global clock line
1884
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1885
      rden_i      : in  std_ulogic; -- read enable
1886
      wren_i      : in  std_ulogic; -- write enable
1887
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1888
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1889
      ack_o       : out std_ulogic; -- transfer acknowledge
1890
      -- clock generator --
1891
      clkgen_en_o : out std_ulogic; -- enable clock generator
1892
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1893 61 zero_gravi
      -- interrupt --
1894
      irq_o       : out std_ulogic; -- interrupt request
1895
      -- NEOLED output --
1896
      neoled_o    : out std_ulogic -- serial async data line
1897 49 zero_gravi
    );
1898
  end component;
1899
 
1900 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1901 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1902 61 zero_gravi
  component neorv32_slink
1903
    generic (
1904 62 zero_gravi
      SLINK_NUM_TX  : natural; -- number of TX links (0..8)
1905
      SLINK_NUM_RX  : natural; -- number of TX links (0..8)
1906
      SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
1907
      SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
1908 61 zero_gravi
    );
1909 52 zero_gravi
    port (
1910
      -- host access --
1911 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1912
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1913
      rden_i         : in  std_ulogic; -- read enable
1914
      wren_i         : in  std_ulogic; -- write enable
1915
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1916
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1917
      ack_o          : out std_ulogic; -- transfer acknowledge
1918 52 zero_gravi
      -- interrupt --
1919 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1920
      irq_rx_o       : out std_ulogic; -- data received
1921
      -- TX stream interfaces --
1922
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1923
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1924
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1925
      -- RX stream interfaces --
1926
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1927
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1928
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
1929 52 zero_gravi
    );
1930
  end component;
1931
 
1932 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
1933
  -- -------------------------------------------------------------------------------------------
1934
  component neorv32_xirq
1935
    generic (
1936 62 zero_gravi
      XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
1937
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
1938
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1939 61 zero_gravi
    );
1940
    port (
1941
      -- host access --
1942
      clk_i     : in  std_ulogic; -- global clock line
1943
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1944
      rden_i    : in  std_ulogic; -- read enable
1945
      wren_i    : in  std_ulogic; -- write enable
1946
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1947
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1948
      ack_o     : out std_ulogic; -- transfer acknowledge
1949
      -- external interrupt lines --
1950 70 zero_gravi
      xirq_i    : in  std_ulogic_vector(31 downto 0);
1951 61 zero_gravi
      -- CPU interrupt --
1952
      cpu_irq_o : out std_ulogic
1953
    );
1954
  end component;
1955
 
1956 67 zero_gravi
  -- Component: General Purpose Timer (GPTMR) -----------------------------------------------
1957
  -- -------------------------------------------------------------------------------------------
1958
  component neorv32_gptmr
1959
    port (
1960
      -- host access --
1961
      clk_i       : in  std_ulogic; -- global clock line
1962
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1963
      rden_i      : in  std_ulogic; -- read enable
1964
      wren_i      : in  std_ulogic; -- write enable
1965
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1966
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1967
      ack_o       : out std_ulogic; -- transfer acknowledge
1968
      -- clock generator --
1969
      clkgen_en_o : out std_ulogic; -- enable clock generator
1970
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1971
      -- interrupt --
1972
      irq_o       : out std_ulogic -- transmission done interrupt
1973
    );
1974
  end component;
1975
 
1976 70 zero_gravi
  -- Component: Execute In Place Module (XIP) -----------------------------------------------
1977
  -- -------------------------------------------------------------------------------------------
1978
  component neorv32_xip
1979
    port (
1980
      -- globals --
1981
      clk_i       : in  std_ulogic; -- global clock line
1982
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1983
      -- host access: control register access port --
1984
      ct_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
1985
      ct_rden_i   : in  std_ulogic; -- read enable
1986
      ct_wren_i   : in  std_ulogic; -- write enable
1987
      ct_data_i   : in  std_ulogic_vector(31 downto 0); -- data in
1988
      ct_data_o   : out std_ulogic_vector(31 downto 0); -- data out
1989
      ct_ack_o    : out std_ulogic; -- transfer acknowledge
1990
      -- host access: instruction fetch access port (read-only) --
1991
      if_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
1992
      if_rden_i   : in  std_ulogic; -- read enable
1993
      if_data_o   : out std_ulogic_vector(31 downto 0); -- data out
1994
      if_ack_o    : out std_ulogic; -- transfer acknowledge
1995
      -- status --
1996
      xip_en_o    : out std_ulogic; -- XIP enable
1997
      xip_acc_o   : out std_ulogic; -- pending XIP access
1998
      xip_page_o  : out std_ulogic_vector(03 downto 0); -- XIP page
1999
      -- clock generator --
2000
      clkgen_en_o : out std_ulogic; -- enable clock generator
2001
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2002
      -- SPI device interface --
2003
      spi_csn_o   : out std_ulogic; -- chip-select, low-active
2004
      spi_clk_o   : out std_ulogic; -- serial clock
2005
      spi_data_i  : in  std_ulogic; -- device data output
2006
      spi_data_o  : out std_ulogic  -- controller data output
2007
    );
2008
  end component;
2009
 
2010 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
2011
  -- -------------------------------------------------------------------------------------------
2012 12 zero_gravi
  component neorv32_sysinfo
2013
    generic (
2014
      -- General --
2015 63 zero_gravi
      CLOCK_FREQUENCY              : natural; -- clock frequency of clk_i in Hz
2016
      INT_BOOTLOADER_EN            : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
2017
      -- RISC-V CPU Extensions --
2018
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
2019
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
2020 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
2021
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
2022 63 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
2023
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
2024
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
2025
      -- Extension Options --
2026
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
2027
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
2028
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
2029
      -- Physical memory protection (PMP) --
2030
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
2031 23 zero_gravi
      -- Internal Instruction memory --
2032 63 zero_gravi
      MEM_INT_IMEM_EN              : boolean; -- implement processor-internal instruction memory
2033
      MEM_INT_IMEM_SIZE            : natural; -- size of processor-internal instruction memory in bytes
2034 23 zero_gravi
      -- Internal Data memory --
2035 63 zero_gravi
      MEM_INT_DMEM_EN              : boolean; -- implement processor-internal data memory
2036
      MEM_INT_DMEM_SIZE            : natural; -- size of processor-internal data memory in bytes
2037 41 zero_gravi
      -- Internal Cache memory --
2038 63 zero_gravi
      ICACHE_EN                    : boolean; -- implement instruction cache
2039
      ICACHE_NUM_BLOCKS            : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
2040
      ICACHE_BLOCK_SIZE            : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
2041
      ICACHE_ASSOCIATIVITY         : natural; -- i-cache: associativity (min 1), has to be a power 2
2042 23 zero_gravi
      -- External memory interface --
2043 63 zero_gravi
      MEM_EXT_EN                   : boolean; -- implement external memory bus interface?
2044
      MEM_EXT_BIG_ENDIAN           : boolean; -- byte order: true=big-endian, false=little-endian
2045 59 zero_gravi
      -- On-Chip Debugger --
2046 63 zero_gravi
      ON_CHIP_DEBUGGER_EN          : boolean; -- implement OCD?
2047 12 zero_gravi
      -- Processor peripherals --
2048 63 zero_gravi
      IO_GPIO_EN                   : boolean; -- implement general purpose input/output port unit (GPIO)?
2049
      IO_MTIME_EN                  : boolean; -- implement machine system timer (MTIME)?
2050
      IO_UART0_EN                  : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
2051
      IO_UART1_EN                  : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
2052
      IO_SPI_EN                    : boolean; -- implement serial peripheral interface (SPI)?
2053
      IO_TWI_EN                    : boolean; -- implement two-wire interface (TWI)?
2054
      IO_PWM_NUM_CH                : natural; -- number of PWM channels to implement
2055
      IO_WDT_EN                    : boolean; -- implement watch dog timer (WDT)?
2056
      IO_TRNG_EN                   : boolean; -- implement true random number generator (TRNG)?
2057
      IO_CFS_EN                    : boolean; -- implement custom functions subsystem (CFS)?
2058
      IO_SLINK_EN                  : boolean; -- implement stream link interface?
2059
      IO_NEOLED_EN                 : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
2060 67 zero_gravi
      IO_XIRQ_NUM_CH               : natural; -- number of external interrupt (XIRQ) channels to implement
2061 70 zero_gravi
      IO_GPTMR_EN                  : boolean; -- implement general purpose timer (GPTMR)?
2062
      IO_XIP_EN                    : boolean  -- implement execute in place module (XIP)?
2063 12 zero_gravi
    );
2064
    port (
2065
      -- host access --
2066
      clk_i  : in  std_ulogic; -- global clock line
2067
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
2068
      rden_i : in  std_ulogic; -- read enable
2069 70 zero_gravi
      wren_i : in  std_ulogic; -- write enable
2070 12 zero_gravi
      data_o : out std_ulogic_vector(31 downto 0); -- data out
2071 70 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
2072
      err_o  : out std_ulogic  -- transfer error
2073 12 zero_gravi
    );
2074
  end component;
2075
 
2076 62 zero_gravi
  -- Component: General Purpose FIFO --------------------------------------------------------
2077 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
2078
  component neorv32_fifo
2079
    generic (
2080 62 zero_gravi
      FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1
2081
      FIFO_WIDTH : natural; -- size of data elements in fifo
2082
      FIFO_RSYNC : boolean; -- false = async read; true = sync read
2083
      FIFO_SAFE  : boolean  -- true = allow read/write only if entry available
2084 61 zero_gravi
    );
2085
    port (
2086
      -- control --
2087
      clk_i   : in  std_ulogic; -- clock, rising edge
2088
      rstn_i  : in  std_ulogic; -- async reset, low-active
2089
      clear_i : in  std_ulogic; -- sync reset, high-active
2090 62 zero_gravi
      level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level
2091 65 zero_gravi
      half_o  : out std_ulogic; -- FIFO is at least half full
2092 61 zero_gravi
      -- write port --
2093
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
2094
      we_i    : in  std_ulogic; -- write enable
2095
      free_o  : out std_ulogic; -- at least one entry is free when set
2096
      -- read port --
2097
      re_i    : in  std_ulogic; -- read enable
2098
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
2099
      avail_o : out std_ulogic  -- data available when set
2100
    );
2101
  end component;
2102
 
2103 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
2104
  -- -------------------------------------------------------------------------------------------
2105
  component neorv32_debug_dm
2106
    port (
2107
      -- global control --
2108
      clk_i            : in  std_ulogic; -- global clock line
2109
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2110
      -- debug module interface (DMI) --
2111
      dmi_rstn_i       : in  std_ulogic;
2112
      dmi_req_valid_i  : in  std_ulogic;
2113
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
2114
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
2115
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
2116
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
2117
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
2118
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
2119
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
2120
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
2121
      -- CPU bus access --
2122
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
2123
      cpu_rden_i       : in  std_ulogic; -- read enable
2124
      cpu_wren_i       : in  std_ulogic; -- write enable
2125
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
2126
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
2127
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
2128
      -- CPU control --
2129
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
2130
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
2131
    );
2132
  end component;
2133
 
2134
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
2135
  -- -------------------------------------------------------------------------------------------
2136
  component neorv32_debug_dtm
2137
    generic (
2138 62 zero_gravi
      IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version
2139
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0); -- part number
2140
      IDCODE_MANID   : std_ulogic_vector(10 downto 0)  -- manufacturer id
2141 59 zero_gravi
    );
2142
    port (
2143
      -- global control --
2144
      clk_i            : in  std_ulogic; -- global clock line
2145
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2146
      -- jtag connection --
2147
      jtag_trst_i      : in  std_ulogic;
2148
      jtag_tck_i       : in  std_ulogic;
2149
      jtag_tdi_i       : in  std_ulogic;
2150
      jtag_tdo_o       : out std_ulogic;
2151
      jtag_tms_i       : in  std_ulogic;
2152
      -- debug module interface (DMI) --
2153
      dmi_rstn_o       : out std_ulogic;
2154
      dmi_req_valid_o  : out std_ulogic;
2155
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
2156
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
2157
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
2158
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
2159
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
2160
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
2161
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
2162
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
2163
    );
2164
  end component;
2165
 
2166 2 zero_gravi
end neorv32_package;
2167
 
2168
package body neorv32_package is
2169
 
2170 69 zero_gravi
  -- Function: Minimal required number of bits to represent <input> numbers -----------------
2171 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2172
  function index_size_f(input : natural) return natural is
2173
  begin
2174
    for i in 0 to natural'high loop
2175
      if (2**i >= input) then
2176
        return i;
2177
      end if;
2178
    end loop; -- i
2179
    return 0;
2180
  end function index_size_f;
2181
 
2182
  -- Function: Conditional select natural ---------------------------------------------------
2183
  -- -------------------------------------------------------------------------------------------
2184
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2185
  begin
2186
    if (cond = true) then
2187
      return val_t;
2188
    else
2189
      return val_f;
2190
    end if;
2191
  end function cond_sel_natural_f;
2192
 
2193 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2194
  -- -------------------------------------------------------------------------------------------
2195
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2196
  begin
2197
    if (cond = true) then
2198
      return val_t;
2199
    else
2200
      return val_f;
2201
    end if;
2202
  end function cond_sel_int_f;
2203
 
2204 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2205
  -- -------------------------------------------------------------------------------------------
2206
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2207
  begin
2208
    if (cond = true) then
2209
      return val_t;
2210
    else
2211
      return val_f;
2212
    end if;
2213
  end function cond_sel_stdulogicvector_f;
2214
 
2215 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2216
  -- -------------------------------------------------------------------------------------------
2217
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2218
  begin
2219
    if (cond = true) then
2220
      return val_t;
2221
    else
2222
      return val_f;
2223
    end if;
2224
  end function cond_sel_stdulogic_f;
2225
 
2226 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2227 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2228 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2229
  begin
2230
    if (cond = true) then
2231
      return val_t;
2232
    else
2233
      return val_f;
2234
    end if;
2235
  end function cond_sel_string_f;
2236
 
2237
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2238
  -- -------------------------------------------------------------------------------------------
2239 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2240
  begin
2241
    if (cond = true) then
2242
      return '1';
2243
    else
2244
      return '0';
2245
    end if;
2246
  end function bool_to_ulogic_f;
2247
 
2248 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2249 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2250 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2251 2 zero_gravi
    variable tmp_v : std_ulogic;
2252
  begin
2253 56 zero_gravi
    tmp_v := '0';
2254 65 zero_gravi
    for i in a'range loop
2255
      tmp_v := tmp_v or a(i);
2256
    end loop; -- i
2257 2 zero_gravi
    return tmp_v;
2258 60 zero_gravi
  end function or_reduce_f;
2259 2 zero_gravi
 
2260 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2261 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2262 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2263 2 zero_gravi
    variable tmp_v : std_ulogic;
2264
  begin
2265 56 zero_gravi
    tmp_v := '1';
2266 65 zero_gravi
    for i in a'range loop
2267
      tmp_v := tmp_v and a(i);
2268
    end loop; -- i
2269 2 zero_gravi
    return tmp_v;
2270 60 zero_gravi
  end function and_reduce_f;
2271 2 zero_gravi
 
2272 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2273 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2274 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2275 2 zero_gravi
    variable tmp_v : std_ulogic;
2276
  begin
2277 56 zero_gravi
    tmp_v := '0';
2278 65 zero_gravi
    for i in a'range loop
2279
      tmp_v := tmp_v xor a(i);
2280
    end loop; -- i
2281 2 zero_gravi
    return tmp_v;
2282 60 zero_gravi
  end function xor_reduce_f;
2283 2 zero_gravi
 
2284 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2285 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2286
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2287
    variable output_v : character;
2288
  begin
2289
    case input is
2290 7 zero_gravi
      when x"0"   => output_v := '0';
2291
      when x"1"   => output_v := '1';
2292
      when x"2"   => output_v := '2';
2293
      when x"3"   => output_v := '3';
2294
      when x"4"   => output_v := '4';
2295
      when x"5"   => output_v := '5';
2296
      when x"6"   => output_v := '6';
2297
      when x"7"   => output_v := '7';
2298
      when x"8"   => output_v := '8';
2299
      when x"9"   => output_v := '9';
2300
      when x"a"   => output_v := 'a';
2301
      when x"b"   => output_v := 'b';
2302
      when x"c"   => output_v := 'c';
2303
      when x"d"   => output_v := 'd';
2304
      when x"e"   => output_v := 'e';
2305
      when x"f"   => output_v := 'f';
2306 6 zero_gravi
      when others => output_v := '?';
2307
    end case;
2308
    return output_v;
2309
  end function to_hexchar_f;
2310
 
2311 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2312
  -- -------------------------------------------------------------------------------------------
2313
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2314
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2315
  begin
2316
    case input is
2317
      when '0'       => hex_value_v := x"0";
2318
      when '1'       => hex_value_v := x"1";
2319
      when '2'       => hex_value_v := x"2";
2320
      when '3'       => hex_value_v := x"3";
2321
      when '4'       => hex_value_v := x"4";
2322
      when '5'       => hex_value_v := x"5";
2323
      when '6'       => hex_value_v := x"6";
2324
      when '7'       => hex_value_v := x"7";
2325
      when '8'       => hex_value_v := x"8";
2326
      when '9'       => hex_value_v := x"9";
2327
      when 'a' | 'A' => hex_value_v := x"a";
2328
      when 'b' | 'B' => hex_value_v := x"b";
2329
      when 'c' | 'C' => hex_value_v := x"c";
2330
      when 'd' | 'D' => hex_value_v := x"d";
2331
      when 'e' | 'E' => hex_value_v := x"e";
2332
      when 'f' | 'F' => hex_value_v := x"f";
2333
      when others    => hex_value_v := (others => 'X');
2334
    end case;
2335
    return hex_value_v;
2336
  end function hexchar_to_stdulogicvector_f;
2337
 
2338 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2339
  -- -------------------------------------------------------------------------------------------
2340
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2341
    variable output_v : std_ulogic_vector(input'range);
2342
  begin
2343
    for i in 0 to input'length-1 loop
2344
      output_v(input'length-i-1) := input(i);
2345
    end loop; -- i
2346
    return output_v;
2347
  end function bit_rev_f;
2348
 
2349 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2350
  -- -------------------------------------------------------------------------------------------
2351
  function is_power_of_two_f(input : natural) return boolean is
2352
  begin
2353 38 zero_gravi
    if (input = 1) then -- 2^0
2354 36 zero_gravi
      return true;
2355 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2356
      return true;
2357 36 zero_gravi
    else
2358
      return false;
2359
    end if;
2360
  end function is_power_of_two_f;
2361
 
2362 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2363
  -- -------------------------------------------------------------------------------------------
2364
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2365
    variable output_v : std_ulogic_vector(input'range);
2366
  begin
2367
    output_v(07 downto 00) := input(31 downto 24);
2368
    output_v(15 downto 08) := input(23 downto 16);
2369
    output_v(23 downto 16) := input(15 downto 08);
2370
    output_v(31 downto 24) := input(07 downto 00);
2371
    return output_v;
2372
  end function bswap32_f;
2373
 
2374 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2375
  -- -------------------------------------------------------------------------------------------
2376 62 zero_gravi
  function char_to_lower_f(ch : character) return character is
2377 61 zero_gravi
    variable res: character;
2378
   begin
2379
     case ch is
2380
       when 'A'    => res := 'a';
2381
       when 'B'    => res := 'b';
2382
       when 'C'    => res := 'c';
2383
       when 'D'    => res := 'd';
2384
       when 'E'    => res := 'e';
2385
       when 'F'    => res := 'f';
2386
       when 'G'    => res := 'g';
2387
       when 'H'    => res := 'h';
2388
       when 'I'    => res := 'i';
2389
       when 'J'    => res := 'j';
2390
       when 'K'    => res := 'k';
2391
       when 'L'    => res := 'l';
2392
       when 'M'    => res := 'm';
2393
       when 'N'    => res := 'n';
2394
       when 'O'    => res := 'o';
2395
       when 'P'    => res := 'p';
2396
       when 'Q'    => res := 'q';
2397
       when 'R'    => res := 'r';
2398
       when 'S'    => res := 's';
2399
       when 'T'    => res := 't';
2400
       when 'U'    => res := 'u';
2401
       when 'V'    => res := 'v';
2402
       when 'W'    => res := 'w';
2403
       when 'X'    => res := 'x';
2404
       when 'Y'    => res := 'y';
2405
       when 'Z'    => res := 'z';
2406
       when others => res := ch;
2407
      end case;
2408
    return res;
2409 62 zero_gravi
  end function char_to_lower_f;
2410 61 zero_gravi
 
2411
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2412
  -- -------------------------------------------------------------------------------------------
2413
  function str_equal_f(str0 : string; str1 : string) return boolean is
2414
    variable tmp0_v : string(str0'range);
2415
    variable tmp1_v : string(str1'range);
2416
  begin
2417
    if (str0'length /= str1'length) then -- equal length?
2418
      return false;
2419
    else
2420
      -- convert to lower case --
2421
      for i in str0'range loop
2422 62 zero_gravi
        tmp0_v(i) := char_to_lower_f(str0(i));
2423 61 zero_gravi
      end loop;
2424
      for i in str1'range loop
2425 62 zero_gravi
        tmp1_v(i) := char_to_lower_f(str1(i));
2426 61 zero_gravi
      end loop;
2427
      -- compare lowercase strings --
2428
      if (tmp0_v = tmp1_v) then
2429
        return true;
2430
      else
2431
        return false;
2432
      end if;
2433
    end if;
2434
  end function str_equal_f;
2435
 
2436 63 zero_gravi
  -- Function: Population count (number of set bits) ----------------------------------------
2437
  -- -------------------------------------------------------------------------------------------
2438
  function popcount_f(input : std_ulogic_vector) return natural is
2439
    variable cnt_v : natural range 0 to input'length;
2440
  begin
2441
    cnt_v := 0;
2442
    for i in input'length-1 downto 0 loop
2443
      if (input(i) = '1') then
2444
        cnt_v := cnt_v + 1;
2445
      end if;
2446
    end loop; -- i
2447
    return cnt_v;
2448
  end function popcount_f;
2449
 
2450
  -- Function: Count leading zeros ----------------------------------------------------------
2451
  -- -------------------------------------------------------------------------------------------
2452
  function leading_zeros_f(input : std_ulogic_vector) return natural is
2453
    variable cnt_v : natural range 0 to input'length;
2454
  begin
2455
    cnt_v := 0;
2456
    for i in input'length-1 downto 0 loop
2457
      if (input(i) = '0') then
2458
        cnt_v := cnt_v + 1;
2459
      else
2460
        exit;
2461
      end if;
2462
    end loop; -- i
2463
    return cnt_v;
2464
  end function leading_zeros_f;
2465
 
2466 61 zero_gravi
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2467
  -- -------------------------------------------------------------------------------------------
2468
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2469
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2470
    variable mem_v : mem32_t(0 to depth-1);
2471
  begin
2472 62 zero_gravi
    mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2473
    if (init'length > depth) then
2474
      return mem_v;
2475
    end if;
2476
    for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2477
      mem_v(idx_v) := init(idx_v);
2478
    end loop; -- idx_v
2479 61 zero_gravi
    return mem_v;
2480
  end function mem32_init_f;
2481
 
2482 62 zero_gravi
 
2483 70 zero_gravi
  -- Finally set deferred constant, see IEEE 1076-2008 14.4.2.1 (NEORV32 Issue #242) --------
2484
  -- -------------------------------------------------------------------------------------------
2485
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
2486
 
2487
 
2488 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.