OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 72

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 72 zero_gravi
  -- use dedicated hardware reset value for UNCRITICAL registers --
48
  -- FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value
49
  constant dedicated_reset_c : boolean := false;
50 40 zero_gravi
 
51 54 zero_gravi
  -- "critical" number of implemented PMP regions --
52
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces
53
  -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
54
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
55 47 zero_gravi
 
56 69 zero_gravi
  -- "response time window" for processor-internal modules --
57 72 zero_gravi
  -- = cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
58
  constant max_proc_int_response_time_c : natural := 15;
59 57 zero_gravi
 
60 59 zero_gravi
  -- jtag tap - identifier --
61
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
62
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
63
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
64
 
65 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
66
  -- -------------------------------------------------------------------------------------------
67 62 zero_gravi
  constant data_width_c : natural := 32; -- native data path width - do not change!
68 72 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01060800"; -- no touchy!
69 62 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
70 61 zero_gravi
 
71 69 zero_gravi
  -- Check if we're inside the Matrix -------------------------------------------------------
72
  -- -------------------------------------------------------------------------------------------
73
  constant is_simulation_c : boolean := false -- seems like we're on real hardware
74
-- pragma translate_off
75
-- synthesis translate_off
76
-- synthesis synthesis_off
77
-- RTL_SYNTHESIS OFF
78
  or true -- this MIGHT be a simulation
79
-- RTL_SYNTHESIS ON
80
-- synthesis synthesis_on
81
-- synthesis translate_on
82
-- pragma translate_on
83
  ;
84
 
85 61 zero_gravi
  -- External Interface Types ---------------------------------------------------------------
86
  -- -------------------------------------------------------------------------------------------
87 72 zero_gravi
  type sdata_8x32_t  is array (0 to 7) of std_ulogic_vector(31 downto 0);
88
  type sdata_8x32r_t is array (0 to 7) of std_logic_vector(31 downto 0); -- resolved type
89 61 zero_gravi
 
90
  -- Internal Interface Types ---------------------------------------------------------------
91
  -- -------------------------------------------------------------------------------------------
92
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
93
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
94
 
95
  -- Internal Memory Types Configuration Types ----------------------------------------------
96
  -- -------------------------------------------------------------------------------------------
97
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
98
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
99
 
100 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
101 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
102
  function index_size_f(input : natural) return natural;
103
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
104 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
105 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
106 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
107 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
108 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
109 71 zero_gravi
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector;
110
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector;
111 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
112
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
113
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
114 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
115 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
116 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
117 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
118 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
119 62 zero_gravi
  function char_to_lower_f(ch : character) return character;
120 61 zero_gravi
  function str_equal_f(str0 : string; str1 : string) return boolean;
121 63 zero_gravi
  function popcount_f(input : std_ulogic_vector) return natural;
122
  function leading_zeros_f(input : std_ulogic_vector) return natural;
123 61 zero_gravi
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
124 2 zero_gravi
 
125 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
126 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
127 70 zero_gravi
  constant def_rst_val_c : std_ulogic; -- Use a deferred constant, prevents compile error with Questa, see IEEE 1076-2008 14.4.2.1
128 56 zero_gravi
 
129 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
130
  -- -------------------------------------------------------------------------------------------
131 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
132 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
133
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
134 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
135 2 zero_gravi
 
136 64 zero_gravi
  -- !!! IMPORTANT: The base address of each component/module has to be aligned to the !!!
137
  -- !!! total size of the module's occupied address space. The occupied address space !!!
138
  -- !!! has to be a power of two (minimum 4 bytes). Address spaces must not overlap.  !!!
139
 
140 23 zero_gravi
  -- Internal Bootloader ROM --
141 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
142 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
143 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
144 23 zero_gravi
 
145 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
146
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
147 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
148 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
149
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
150
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
151
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
152
 
153 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
154 70 zero_gravi
  -- Control register(s) (including the device-enable flag) should be located at the base address of each device
155 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
156 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
157 2 zero_gravi
 
158 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
159 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
160 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
161 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
162
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
163
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
164
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
165
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
166
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
167
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
168
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
169
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
170
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
171
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
172
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
173
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
174
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
175
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
176
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
177
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
178
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
179
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
180
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
181
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
182
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
183
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
184
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
185
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
186
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
187
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
188
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
189
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
190
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
191
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
192
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
193 47 zero_gravi
 
194 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
195
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
196 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
197 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
198
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
199
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
200
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
201
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
202
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
203
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
204
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
205
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
206
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
207
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
208
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
209
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
210
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
211
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
212
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
213
 
214 63 zero_gravi
  -- Stream Link Interface (SLINK) --
215 61 zero_gravi
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
216
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
217 60 zero_gravi
 
218
  -- reserved --
219
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
220 63 zero_gravi
--constant reserved_size_c      : natural := 16*4; -- module's address space size in bytes
221 60 zero_gravi
 
222 70 zero_gravi
  -- Execute In Place Module (XIP) --
223
  constant xip_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40"; -- base address
224
  constant xip_size_c           : natural := 4*4; -- module's address space size in bytes
225
  constant xip_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40";
226
  constant xip_map_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff44";
227
  constant xip_data_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff48";
228
  constant xip_data_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff4C";
229
 
230 63 zero_gravi
  -- reserved --
231 70 zero_gravi
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff50"; -- base address
232
--constant reserved_size_c      : natural := 4*4; -- module's address space size in bytes
233 63 zero_gravi
 
234 67 zero_gravi
  -- General Purpose Timer (GPTMR) --
235
  constant gptmr_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; -- base address
236
  constant gptmr_size_c         : natural := 4*4; -- module's address space size in bytes
237
  constant gptmr_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60";
238
  constant gptmr_thres_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff64";
239
  constant gptmr_count_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff68";
240
--constant gptmr_reserve_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff6c";
241 63 zero_gravi
 
242
  -- reserved --
243
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff70"; -- base address
244
--constant reserved_size_c      : natural := 2*4; -- module's address space size in bytes
245
 
246
  -- reserved --
247
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff78"; -- base address
248 66 zero_gravi
--constant reserved_size_c      : natural := 1*4; -- module's address space size in bytes
249 63 zero_gravi
 
250 66 zero_gravi
  -- Bus Access Monitor (BUSKEEPER) --
251
  constant buskeeper_base_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff7c"; -- base address
252
  constant buskeeper_size_c     : natural := 1*4; -- module's address space size in bytes
253
 
254 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
255
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
256
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
257
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
258
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
259
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
260 62 zero_gravi
--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
261 2 zero_gravi
 
262
  -- Machine System Timer (MTIME) --
263 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
264 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
265 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
266
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
267
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
268
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
269 2 zero_gravi
 
270 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
271 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
272 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
273 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
274
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
275 2 zero_gravi
 
276
  -- Serial Peripheral Interface (SPI) --
277 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
278 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
279 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
280
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
281 2 zero_gravi
 
282
  -- Two Wire Interface (TWI) --
283 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
284 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
285 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
286
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
287 2 zero_gravi
 
288 61 zero_gravi
  -- True Random Number Generator (TRNG) --
289
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
290
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
291
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
292
 
293
  -- Watch Dog Timer (WDT) --
294
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
295
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
296
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
297
 
298 63 zero_gravi
  -- General Purpose Input/Output Controller (GPIO) --
299 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
300
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
301
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
302
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
303
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
304
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
305 2 zero_gravi
 
306 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
307 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
308 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
309 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
310
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
311 50 zero_gravi
 
312 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
313 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
314 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
315 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
316
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
317 12 zero_gravi
 
318 23 zero_gravi
  -- System Information Memory (SYSINFO) --
319 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
320 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
321 12 zero_gravi
 
322 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
323 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
324
  -- register file --
325 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
326
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
327
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
328
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
329
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
330
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
331
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
332
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
333
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
334
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
335
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
336 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
337
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
338
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
339
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
340
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
341 49 zero_gravi
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
342 2 zero_gravi
  -- alu --
343 68 zero_gravi
  constant ctrl_alu_op0_c       : natural := 17; -- ALU operation select bit 0
344
  constant ctrl_alu_op1_c       : natural := 18; -- ALU operation select bit 1
345
  constant ctrl_alu_op2_c       : natural := 19; -- ALU operation select bit 2
346 62 zero_gravi
  constant ctrl_alu_func0_c     : natural := 20; -- ALU function select command bit 0
347
  constant ctrl_alu_func1_c     : natural := 21; -- ALU function select command bit 1
348 68 zero_gravi
  constant ctrl_alu_opa_mux_c   : natural := 22; -- operand A select (0=rs1, 1=PC)
349
  constant ctrl_alu_opb_mux_c   : natural := 23; -- operand B select (0=rs2, 1=IMM)
350
  constant ctrl_alu_unsigned_c  : natural := 24; -- is unsigned ALU operation
351
  constant ctrl_alu_shift_dir_c : natural := 25; -- shift direction (0=left, 1=right)
352
  constant ctrl_alu_shift_ar_c  : natural := 26; -- is arithmetic shift
353
  constant ctrl_alu_frm0_c      : natural := 27; -- FPU rounding mode bit 0
354
  constant ctrl_alu_frm1_c      : natural := 28; -- FPU rounding mode bit 1
355
  constant ctrl_alu_frm2_c      : natural := 29; -- FPU rounding mode bit 2
356 2 zero_gravi
  -- bus interface --
357 68 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 30; -- transfer size lsb (00=byte, 01=half-word)
358
  constant ctrl_bus_size_msb_c  : natural := 31; -- transfer size msb (10=word, 11=?)
359
  constant ctrl_bus_rd_c        : natural := 32; -- read data request
360
  constant ctrl_bus_wr_c        : natural := 33; -- write data request
361
  constant ctrl_bus_if_c        : natural := 34; -- instruction fetch request
362
  constant ctrl_bus_mo_we_c     : natural := 35; -- memory address and data output register write enable
363
  constant ctrl_bus_mi_we_c     : natural := 36; -- memory data input register write enable
364
  constant ctrl_bus_unsigned_c  : natural := 37; -- is unsigned load
365
  constant ctrl_bus_ierr_ack_c  : natural := 38; -- acknowledge instruction fetch bus exceptions
366
  constant ctrl_bus_derr_ack_c  : natural := 39; -- acknowledge data access bus exceptions
367
  constant ctrl_bus_fence_c     : natural := 40; -- executed fence operation
368
  constant ctrl_bus_fencei_c    : natural := 41; -- executed fencei operation
369
  constant ctrl_bus_lock_c      : natural := 42; -- make atomic/exclusive access lock
370
  constant ctrl_bus_de_lock_c   : natural := 43; -- remove atomic/exclusive access 
371
  constant ctrl_bus_ch_lock_c   : natural := 44; -- evaluate atomic/exclusive lock (SC operation)
372 26 zero_gravi
  -- co-processors --
373 68 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 45; -- cp select ID lsb
374 71 zero_gravi
  constant ctrl_cp_id_hsb_c     : natural := 46; -- cp select ID "half" significant bit
375
  constant ctrl_cp_id_msb_c     : natural := 47; -- cp select ID msb
376 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
377 71 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 48; -- funct3 bit 0
378
  constant ctrl_ir_funct3_1_c   : natural := 49; -- funct3 bit 1
379
  constant ctrl_ir_funct3_2_c   : natural := 50; -- funct3 bit 2
380
  constant ctrl_ir_funct12_0_c  : natural := 51; -- funct12 bit 0
381
  constant ctrl_ir_funct12_1_c  : natural := 52; -- funct12 bit 1
382
  constant ctrl_ir_funct12_2_c  : natural := 53; -- funct12 bit 2
383
  constant ctrl_ir_funct12_3_c  : natural := 54; -- funct12 bit 3
384
  constant ctrl_ir_funct12_4_c  : natural := 55; -- funct12 bit 4
385
  constant ctrl_ir_funct12_5_c  : natural := 56; -- funct12 bit 5
386
  constant ctrl_ir_funct12_6_c  : natural := 57; -- funct12 bit 6
387
  constant ctrl_ir_funct12_7_c  : natural := 58; -- funct12 bit 7
388
  constant ctrl_ir_funct12_8_c  : natural := 59; -- funct12 bit 8
389
  constant ctrl_ir_funct12_9_c  : natural := 60; -- funct12 bit 9
390
  constant ctrl_ir_funct12_10_c : natural := 61; -- funct12 bit 10
391
  constant ctrl_ir_funct12_11_c : natural := 62; -- funct12 bit 11
392
  constant ctrl_ir_opcode7_0_c  : natural := 63; -- opcode7 bit 0
393
  constant ctrl_ir_opcode7_1_c  : natural := 64; -- opcode7 bit 1
394
  constant ctrl_ir_opcode7_2_c  : natural := 65; -- opcode7 bit 2
395
  constant ctrl_ir_opcode7_3_c  : natural := 66; -- opcode7 bit 3
396
  constant ctrl_ir_opcode7_4_c  : natural := 67; -- opcode7 bit 4
397
  constant ctrl_ir_opcode7_5_c  : natural := 68; -- opcode7 bit 5
398
  constant ctrl_ir_opcode7_6_c  : natural := 69; -- opcode7 bit 6
399 47 zero_gravi
  -- CPU status --
400 71 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 70; -- privilege level lsb
401
  constant ctrl_priv_lvl_msb_c  : natural := 71; -- privilege level msb
402
  constant ctrl_sleep_c         : natural := 72; -- set when CPU is in sleep mode
403
  constant ctrl_trap_c          : natural := 73; -- set when CPU is entering trap execution
404
  constant ctrl_debug_running_c : natural := 74; -- CPU is in debug mode when set
405 2 zero_gravi
  -- control bus size --
406 71 zero_gravi
  constant ctrl_width_c         : natural := 75; -- control bus size
407 2 zero_gravi
 
408 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
409 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
410 47 zero_gravi
  constant cmp_equal_c : natural := 0;
411
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
412 2 zero_gravi
 
413 72 zero_gravi
  -- RISC-V 32-Bit Instruction Word Layout --------------------------------------------------
414 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
415
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
416
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
417
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
418
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
419
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
420
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
421
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
422
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
423
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
424
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
425
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
426
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
427
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
428
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
429
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
430
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
431
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
432
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
433
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
434
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
435 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
436
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
437 2 zero_gravi
 
438
  -- RISC-V Opcodes -------------------------------------------------------------------------
439
  -- -------------------------------------------------------------------------------------------
440
  -- alu --
441
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
442
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
443
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
444
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
445
  -- control flow --
446
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
447 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
448 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
449
  -- memory access --
450
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
451
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
452
  -- system/csr --
453 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
454 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
455 52 zero_gravi
  -- atomic memory access (A) --
456 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
457 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
458 66 zero_gravi
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single operand instruction
459 72 zero_gravi
  -- official "custom0/1" RISC-V opcodes - free for custom instructions --
460
  constant opcode_cust0_c  : std_ulogic_vector(6 downto 0) := "0001011"; -- custom instructions 0
461
--constant opcode_cust1_c  : std_ulogic_vector(6 downto 0) := "0101011"; -- custom instructions 1
462 2 zero_gravi
 
463
  -- RISC-V Funct3 --------------------------------------------------------------------------
464
  -- -------------------------------------------------------------------------------------------
465
  -- control flow --
466
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
467
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
468
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
469
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
470
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
471
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
472
  -- memory access --
473
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
474
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
475
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
476
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
477
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
478
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
479
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
480
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
481
  -- alu --
482
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
483
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
484
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
485
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
486
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
487
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
488
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
489
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
490
  -- system/csr --
491 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
492 72 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- csr r/w
493
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- csr read & set bit
494
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- csr read & clear bit
495
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- csr r/w immediate
496
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- csr read & set bit immediate
497
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- csr read & clear bit immediate
498 8 zero_gravi
  -- fence --
499
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
500 66 zero_gravi
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instruction stream sync
501 2 zero_gravi
 
502 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
503 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
504
  -- system --
505 72 zero_gravi
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ecall
506
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- ebreak
507
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- mret
508
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- wfi
509
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- dret
510 11 zero_gravi
 
511 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
512
  -- -------------------------------------------------------------------------------------------
513
  -- atomic operations --
514 72 zero_gravi
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- lr.w
515
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- sc.w
516 39 zero_gravi
 
517 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
518 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
519 54 zero_gravi
  -- formats --
520
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
521 72 zero_gravi
--constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
522
--constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
523
--constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
524 52 zero_gravi
 
525 54 zero_gravi
  -- number class flags --
526
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
527
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
528
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
529
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
530
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
531
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
532
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
533
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
534
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
535
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
536
 
537
  -- exception flags --
538
  constant fp_exc_nv_c : natural := 0; -- invalid operation
539
  constant fp_exc_dz_c : natural := 1; -- divide by zero
540
  constant fp_exc_of_c : natural := 2; -- overflow
541
  constant fp_exc_uf_c : natural := 3; -- underflow
542
  constant fp_exc_nx_c : natural := 4; -- inexact
543
 
544
  -- special values (single-precision) --
545
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
546
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
547
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
548
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
549
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
550
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
551
 
552 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
553
  -- -------------------------------------------------------------------------------------------
554 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
555
  -- user floating-point CSRs --
556 68 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(09 downto 0) := x"00" & "00"; -- floating point
557 52 zero_gravi
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
558
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
559
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
560 56 zero_gravi
  -- machine trap setup --
561 63 zero_gravi
  constant csr_class_setup_c    : std_ulogic_vector(08 downto 0) := x"30" & '0'; -- trap setup
562 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
563
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
564
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
565
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
566
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
567 62 zero_gravi
  --
568
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
569 64 zero_gravi
  -- machine configuration --
570
  constant csr_class_envcfg_c   : std_ulogic_vector(06 downto 0) := x"3" & "000"; -- configuration
571
  constant csr_menvcfg_c        : std_ulogic_vector(11 downto 0) := x"30a";
572
  constant csr_menvcfgh_c       : std_ulogic_vector(11 downto 0) := x"31a";
573 56 zero_gravi
  -- machine counter setup --
574
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
575 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
576
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
577
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
578
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
579
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
580
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
581
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
582
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
583
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
584
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
585
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
586
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
587
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
588
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
589
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
590
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
591
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
592
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
593
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
594
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
595
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
596
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
597
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
598
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
599
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
600
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
601
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
602
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
603
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
604
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
605 56 zero_gravi
  -- machine trap handling --
606 69 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
607 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
608
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
609
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
610
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
611
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
612 56 zero_gravi
  -- physical memory protection - configuration --
613 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
614 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
615
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
616
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
617
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
618
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
619
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
620
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
621
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
622
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
623
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
624
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
625
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
626
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
627
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
628
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
629
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
630 56 zero_gravi
  -- physical memory protection - address --
631 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
632
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
633
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
634
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
635
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
636
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
637
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
638
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
639
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
640
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
641
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
642
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
643
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
644
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
645
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
646
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
647
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
648
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
649
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
650
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
651
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
652
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
653
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
654
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
655
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
656
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
657
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
658
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
659
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
660
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
661
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
662
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
663
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
664
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
665
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
666
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
667
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
668
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
669
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
670
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
671
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
672
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
673
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
674
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
675
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
676
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
677
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
678
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
679
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
680
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
681
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
682
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
683
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
684
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
685
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
686
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
687
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
688
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
689
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
690
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
691
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
692
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
693
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
694
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
695 72 zero_gravi
  -- trigger module registers --
696
  constant csr_class_trigger_c  : std_ulogic_vector(07 downto 0) := x"7a"; -- trigger registers
697
  constant csr_tselect_c        : std_ulogic_vector(11 downto 0) := x"7a0";
698
  constant csr_tdata1_c         : std_ulogic_vector(11 downto 0) := x"7a1";
699
  constant csr_tdata2_c         : std_ulogic_vector(11 downto 0) := x"7a2";
700
  constant csr_tdata3_c         : std_ulogic_vector(11 downto 0) := x"7a3";
701
  constant csr_tinfo_c          : std_ulogic_vector(11 downto 0) := x"7a4";
702
  constant csr_tcontrol_c       : std_ulogic_vector(11 downto 0) := x"7a5";
703
  constant csr_mcontext_c       : std_ulogic_vector(11 downto 0) := x"7a8";
704
  constant csr_scontext_c       : std_ulogic_vector(11 downto 0) := x"7aa";
705 59 zero_gravi
  -- debug mode registers --
706
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
707
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
708
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
709
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
710 56 zero_gravi
  -- machine counters/timers --
711 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
712
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
713
  --
714
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
715
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
716
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
717
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
718
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
719
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
720
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
721
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
722
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
723
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
724
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
725
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
726
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
727
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
728
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
729
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
730
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
731
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
732
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
733
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
734
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
735
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
736
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
737
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
738
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
739
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
740
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
741
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
742
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
743
  --
744
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
745
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
746
  --
747
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
748
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
749
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
750
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
751
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
752
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
753
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
754
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
755
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
756
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
757
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
758
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
759
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
760
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
761
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
762
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
763
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
764
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
765
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
766
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
767
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
768
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
769
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
770
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
771
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
772
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
773
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
774
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
775
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
776
 
777 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
778
  -- user counters/timers --
779 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
780
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
781
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
782
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
783
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
784
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
785 56 zero_gravi
  -- machine information registers --
786 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
787
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
788
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
789
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
790 62 zero_gravi
  constant csr_mconfigptr_c     : std_ulogic_vector(11 downto 0) := x"f15";
791 42 zero_gravi
 
792 72 zero_gravi
  -- <<< NEORV32-specific (custom) read-only CSRs >>> ---
793
  -- machine extended ISA extensionss information --
794
  constant csr_mxisa_c          : std_ulogic_vector(11 downto 0) := x"fc0";
795
 
796
  -- CPU Co-Processor IDs -------------------------------------------------------------------
797 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
798 71 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(2 downto 0) := "000"; -- CP0: shift operations (base ISA)
799
  constant cp_sel_muldiv_c   : std_ulogic_vector(2 downto 0) := "001"; -- CP1: multiplication/division operations ('M' extensions)
800
  constant cp_sel_bitmanip_c : std_ulogic_vector(2 downto 0) := "010"; -- CP2: bit manipulation ('B' extensions)
801
  constant cp_sel_fpu_c      : std_ulogic_vector(2 downto 0) := "011"; -- CP3: floating-point unit ('Zfinx' extension)
802 72 zero_gravi
  constant cp_sel_cfu_c      : std_ulogic_vector(2 downto 0) := "100"; -- CP4: custom instructions CFU ('Zxcfu' extension)
803 71 zero_gravi
--constant cp_sel_res1_c     : std_ulogic_vector(2 downto 0) := "101"; -- CP5: reserved
804
--constant cp_sel_res2_c     : std_ulogic_vector(2 downto 0) := "110"; -- CP6: reserved
805
--constant cp_sel_res3_c     : std_ulogic_vector(2 downto 0) := "111"; -- CP7: reserved
806 2 zero_gravi
 
807
  -- ALU Function Codes ---------------------------------------------------------------------
808
  -- -------------------------------------------------------------------------------------------
809 68 zero_gravi
  -- ALU core [DO NOT CHANGE ENCODING!] --
810
  constant alu_op_add_c     : std_ulogic_vector(2 downto 0) := "000"; -- alu_result <= A + B
811
  constant alu_op_sub_c     : std_ulogic_vector(2 downto 0) := "001"; -- alu_result <= A - B
812
--constant alu_op_mova_c    : std_ulogic_vector(2 downto 0) := "010"; -- alu_result <= A (rs1)
813
  constant alu_op_slt_c     : std_ulogic_vector(2 downto 0) := "011"; -- alu_result <= A < B
814
  constant alu_op_movb_c    : std_ulogic_vector(2 downto 0) := "100"; -- alu_result <= B
815
  constant alu_op_xor_c     : std_ulogic_vector(2 downto 0) := "101"; -- alu_result <= A xor B
816
  constant alu_op_or_c      : std_ulogic_vector(2 downto 0) := "110"; -- alu_result <= A or B
817
  constant alu_op_and_c     : std_ulogic_vector(2 downto 0) := "111"; -- alu_result <= A and B
818
  -- function select (actual ALU result) --
819
  constant alu_func_core_c  : std_ulogic_vector(1 downto 0) := "00"; -- r <= alu_result
820
  constant alu_func_nxpc_c  : std_ulogic_vector(1 downto 0) := "01"; -- r <= next_PC
821
  constant alu_func_csrr_c  : std_ulogic_vector(1 downto 0) := "10"; -- r <= CSR read
822
  constant alu_func_copro_c : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (multi-cycle)
823 2 zero_gravi
 
824 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
825
  -- -------------------------------------------------------------------------------------------
826 64 zero_gravi
  -- MSB:   1 = async exception (IRQ), 0 = sync exception (e.g. ebreak)
827
  -- MSB-1: 1 = entry to debug mode, 0 = normal trapping
828 72 zero_gravi
  -- RISC-V compliant synchronous exceptions --
829 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
830
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
831
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
832
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
833
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
834
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
835
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
836
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
837
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
838 72 zero_gravi
--constant trap_senv_c  x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01001"; -- 0.9:  environment call from s-mode
839
--constant trap_henv_c  x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01010"; -- 0.10: environment call from h-mode
840 59 zero_gravi
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
841 72 zero_gravi
--constant trap_ipf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01100"; -- 0.12: instruction page fault
842
--constant trap_lpf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01101"; -- 0.13: load page fault
843
--constant trap_???_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01110"; -- 0.14: reserved
844
--constant trap_lpf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01111"; -- 0.15: store page fault
845
  -- NEORV32-specific (custom) synchronous exceptions --
846
-- none implemented yet
847
  -- RISC-V compliant asynchronous exceptions (interrupts) --
848 59 zero_gravi
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
849
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
850
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
851 72 zero_gravi
  -- NEORV32-specific (custom) asynchronous exceptions (interrupts) --
852 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
853
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
854
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
855
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
856
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
857
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
858
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
859
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
860
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
861
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
862
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
863
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
864
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
865
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
866
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
867
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
868 72 zero_gravi
  -- entering debug mode (sync./async. exceptions) --
869
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00001"; -- break instruction (sync)
870
  constant trap_db_hw_c    : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- hardware trigger (sync)
871
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async)
872
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async)
873 12 zero_gravi
 
874 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
875
  -- -------------------------------------------------------------------------------------------
876
  -- exception source bits --
877 59 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instruction access fault
878
  constant exception_iillegal_c  : natural :=  1; -- illegal instruction
879
  constant exception_ialign_c    : natural :=  2; -- instruction address misaligned
880 47 zero_gravi
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
881
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
882
  constant exception_break_c     : natural :=  5; -- breakpoint
883
  constant exception_salign_c    : natural :=  6; -- store address misaligned
884
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
885
  constant exception_saccess_c   : natural :=  8; -- store access fault
886
  constant exception_laccess_c   : natural :=  9; -- load access fault
887 59 zero_gravi
  -- for debug mode only --
888
  constant exception_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
889 72 zero_gravi
  constant exception_db_hw_c     : natural := 11; -- enter debug mode via hw trigger ("sync EXCEPTION")
890 14 zero_gravi
  --
891 72 zero_gravi
  constant exception_width_c     : natural := 12; -- length of this list in bits
892 2 zero_gravi
  -- interrupt source bits --
893 64 zero_gravi
  constant interrupt_msw_irq_c   : natural :=  0; -- machine software interrupt
894
  constant interrupt_mtime_irq_c : natural :=  1; -- machine timer interrupt
895
  constant interrupt_mext_irq_c  : natural :=  2; -- machine external interrupt
896
  constant interrupt_firq_0_c    : natural :=  3; -- fast interrupt channel 0
897
  constant interrupt_firq_1_c    : natural :=  4; -- fast interrupt channel 1
898
  constant interrupt_firq_2_c    : natural :=  5; -- fast interrupt channel 2
899
  constant interrupt_firq_3_c    : natural :=  6; -- fast interrupt channel 3
900
  constant interrupt_firq_4_c    : natural :=  7; -- fast interrupt channel 4
901
  constant interrupt_firq_5_c    : natural :=  8; -- fast interrupt channel 5
902
  constant interrupt_firq_6_c    : natural :=  9; -- fast interrupt channel 6
903
  constant interrupt_firq_7_c    : natural := 10; -- fast interrupt channel 7
904
  constant interrupt_firq_8_c    : natural := 11; -- fast interrupt channel 8
905
  constant interrupt_firq_9_c    : natural := 12; -- fast interrupt channel 9
906
  constant interrupt_firq_10_c   : natural := 13; -- fast interrupt channel 10
907
  constant interrupt_firq_11_c   : natural := 14; -- fast interrupt channel 11
908
  constant interrupt_firq_12_c   : natural := 15; -- fast interrupt channel 12
909
  constant interrupt_firq_13_c   : natural := 16; -- fast interrupt channel 13
910
  constant interrupt_firq_14_c   : natural := 17; -- fast interrupt channel 14
911
  constant interrupt_firq_15_c   : natural := 18; -- fast interrupt channel 15
912 59 zero_gravi
  -- for debug mode only --
913 64 zero_gravi
  constant interrupt_db_halt_c   : natural := 19; -- enter debug mode via external halt request ("async IRQ")
914
  constant interrupt_db_step_c   : natural := 20; -- enter debug mode via single-stepping ("async IRQ")
915 14 zero_gravi
  --
916 64 zero_gravi
  constant interrupt_width_c     : natural := 21; -- length of this list in bits
917 2 zero_gravi
 
918 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
919
  -- -------------------------------------------------------------------------------------------
920 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
921
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
922 15 zero_gravi
 
923 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
924
  -- -------------------------------------------------------------------------------------------
925
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
926 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
927 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
928
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
929
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
930
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
931 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
932
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
933
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
934
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
935
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
936
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
937
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
938
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
939
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
940 42 zero_gravi
  --
941 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
942 42 zero_gravi
 
943 72 zero_gravi
  -- SoC Clock Generator --------------------------------------------------------------------
944 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
945
  constant clk_div2_c    : natural := 0;
946
  constant clk_div4_c    : natural := 1;
947
  constant clk_div8_c    : natural := 2;
948
  constant clk_div64_c   : natural := 3;
949
  constant clk_div128_c  : natural := 4;
950
  constant clk_div1024_c : natural := 5;
951
  constant clk_div2048_c : natural := 6;
952
  constant clk_div4096_c : natural := 7;
953
 
954
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
955
  -- -------------------------------------------------------------------------------------------
956
  component neorv32_top
957
    generic (
958
      -- General --
959 62 zero_gravi
      CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
960 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
961 62 zero_gravi
      INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
962 59 zero_gravi
      -- On-Chip Debugger (OCD) --
963
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
964 2 zero_gravi
      -- RISC-V CPU Extensions --
965 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
966 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
967 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
968 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
969 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
970 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
971 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
972 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
973 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
974
      CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
975 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
976 62 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
977 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean := false;  -- implement custom (instr.) functions unit?
978
      -- Tuning Options --
979 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
980
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
981 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
982 62 zero_gravi
      CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
983 15 zero_gravi
      -- Physical Memory Protection (PMP) --
984 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
985
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
986
      -- Hardware Performance Monitors (HPM) --
987 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
988 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
989 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
990 62 zero_gravi
      MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
991 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
992 61 zero_gravi
      -- Internal Data memory (DMEM) --
993 62 zero_gravi
      MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
994 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
995 70 zero_gravi
      -- Internal Instruction Cache (iCACHE) --
996 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
997 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
998
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
999 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
1000 61 zero_gravi
      -- External memory interface (WISHBONE) --
1001 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
1002 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
1003 62 zero_gravi
      MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1004
      MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
1005
      MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
1006 61 zero_gravi
      -- Stream link interface (SLINK) --
1007
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
1008
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
1009
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
1010
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
1011
      -- External Interrupts Controller (XIRQ) --
1012
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
1013 62 zero_gravi
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
1014
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1015 2 zero_gravi
      -- Processor peripherals --
1016 62 zero_gravi
      IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
1017
      IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
1018
      IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
1019 65 zero_gravi
      IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
1020
      IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
1021 62 zero_gravi
      IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1022 65 zero_gravi
      IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
1023
      IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
1024 62 zero_gravi
      IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
1025
      IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
1026
      IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
1027
      IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
1028 44 zero_gravi
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
1029 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
1030 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
1031 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
1032
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
1033 62 zero_gravi
      IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1034 67 zero_gravi
      IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
1035 70 zero_gravi
      IO_GPTMR_EN                  : boolean := false;  -- implement general purpose timer (GPTMR)?
1036
      IO_XIP_EN                    : boolean := false   -- implement execute in place module (XIP)?
1037 2 zero_gravi
    );
1038
    port (
1039
      -- Global control --
1040 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1041
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1042 59 zero_gravi
      -- JTAG on-chip debugger interface --
1043 62 zero_gravi
      jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
1044
      jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
1045
      jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
1046 61 zero_gravi
      jtag_tdo_o     : out std_ulogic;        -- serial data output
1047 62 zero_gravi
      jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
1048 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
1049 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
1050
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
1051 62 zero_gravi
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
1052 61 zero_gravi
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
1053
      wb_we_o        : out std_ulogic; -- read/write
1054
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
1055
      wb_stb_o       : out std_ulogic; -- strobe
1056
      wb_cyc_o       : out std_ulogic; -- valid cycle
1057
      wb_lock_o      : out std_ulogic; -- exclusive access request
1058 62 zero_gravi
      wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
1059
      wb_err_i       : in  std_ulogic := 'L'; -- transfer error
1060 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
1061 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
1062
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
1063 70 zero_gravi
      -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
1064
      xip_csn_o      : out std_ulogic; -- chip-select, low-active
1065
      xip_clk_o      : out std_ulogic; -- serial clock
1066
      xip_sdi_i      : in  std_ulogic := 'L'; -- device data input
1067
      xip_sdo_o      : out std_ulogic; -- controller data output
1068 61 zero_gravi
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
1069
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1070
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1071 62 zero_gravi
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
1072 61 zero_gravi
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
1073 62 zero_gravi
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
1074
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
1075 61 zero_gravi
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
1076 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
1077 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
1078 62 zero_gravi
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
1079 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
1080 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
1081 62 zero_gravi
      uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
1082 61 zero_gravi
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
1083 62 zero_gravi
      uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
1084 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
1085 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
1086 62 zero_gravi
      uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
1087 61 zero_gravi
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
1088 62 zero_gravi
      uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
1089 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
1090 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
1091
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
1092 62 zero_gravi
      spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
1093 61 zero_gravi
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
1094 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
1095 72 zero_gravi
      twi_sda_io     : inout std_logic; -- twi serial data line
1096
      twi_scl_io     : inout std_logic; -- twi serial clock line
1097 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
1098 70 zero_gravi
      pwm_o          : out std_ulogic_vector(59 downto 0); -- pwm channels
1099 47 zero_gravi
      -- Custom Functions Subsystem IO --
1100 62 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
1101 61 zero_gravi
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1102 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1103 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1104 59 zero_gravi
      -- System time --
1105 62 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1106 61 zero_gravi
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1107
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1108 70 zero_gravi
      xirq_i         : in  std_ulogic_vector(31 downto 0) := (others => 'L'); -- IRQ channels
1109 61 zero_gravi
      -- CPU Interrupts --
1110 62 zero_gravi
      mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
1111
      msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
1112
      mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
1113 2 zero_gravi
    );
1114
  end component;
1115
 
1116 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1117
  -- -------------------------------------------------------------------------------------------
1118
  component neorv32_cpu
1119
    generic (
1120
      -- General --
1121 62 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1122
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1123
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1124 4 zero_gravi
      -- RISC-V CPU Extensions --
1125 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1126 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1127 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1128
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1129
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1130
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1131
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1132
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1133 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1134
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1135 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1136
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1137 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean; -- implement custom (instr.) functions unit?
1138 62 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1139 72 zero_gravi
      -- Tuning Options --
1140 62 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1141
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1142
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1143
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1144 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1145 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1146
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1147 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1148 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1149
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1150 4 zero_gravi
    );
1151
    port (
1152
      -- global control --
1153 71 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1154
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1155
      sleep_o       : out std_ulogic; -- cpu is in sleep mode when set
1156
      debug_o       : out std_ulogic; -- cpu is in debug mode when set
1157 12 zero_gravi
      -- instruction bus interface --
1158 71 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1159
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1160
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1161
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1162
      i_bus_we_o    : out std_ulogic; -- write enable
1163
      i_bus_re_o    : out std_ulogic; -- read enable
1164
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1165
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1166
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1167
      i_bus_fence_o : out std_ulogic; -- executed FENCEI operation
1168
      i_bus_priv_o  : out std_ulogic_vector(1 downto 0); -- privilege level
1169 12 zero_gravi
      -- data bus interface --
1170 71 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1171
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1172
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1173
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1174
      d_bus_we_o    : out std_ulogic; -- write enable
1175
      d_bus_re_o    : out std_ulogic; -- read enable
1176
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1177
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1178
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1179
      d_bus_fence_o : out std_ulogic; -- executed FENCE operation
1180
      d_bus_priv_o  : out std_ulogic_vector(1 downto 0); -- privilege level
1181 11 zero_gravi
      -- system time input from MTIME --
1182 71 zero_gravi
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1183 14 zero_gravi
      -- interrupts (risc-v compliant) --
1184 71 zero_gravi
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1185
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1186
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1187 14 zero_gravi
      -- fast interrupts (custom) --
1188 71 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1189 59 zero_gravi
      -- debug mode (halt) request --
1190 71 zero_gravi
      db_halt_req_i : in  std_ulogic
1191 4 zero_gravi
    );
1192
  end component;
1193
 
1194 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1195
  -- -------------------------------------------------------------------------------------------
1196
  component neorv32_cpu_control
1197
    generic (
1198
      -- General --
1199 70 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1200 62 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1201
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1202 2 zero_gravi
      -- RISC-V CPU Extensions --
1203 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1204 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1205 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1206
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1207
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1208
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1209
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1210
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1211 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1212
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1213 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1214
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1215 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean; -- implement custom (instr.) functions unit?
1216 62 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1217 56 zero_gravi
      -- Extension Options --
1218 72 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1219
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1220 62 zero_gravi
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1221
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1222 15 zero_gravi
      -- Physical memory protection (PMP) --
1223 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1224
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1225 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1226 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1227
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1228 2 zero_gravi
    );
1229
    port (
1230
      -- global control --
1231
      clk_i         : in  std_ulogic; -- global clock, rising edge
1232
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1233
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1234
      -- status input --
1235 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1236 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1237
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1238 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1239 2 zero_gravi
      -- data input --
1240
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1241
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1242 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1243 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1244 2 zero_gravi
      -- data output --
1245
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1246 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1247
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1248 68 zero_gravi
      next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction)
1249 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1250 52 zero_gravi
      -- FPU interface --
1251
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1252 59 zero_gravi
      -- debug mode (halt) request --
1253
      db_halt_req_i : in  std_ulogic;
1254 14 zero_gravi
      -- interrupts (risc-v compliant) --
1255
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1256
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1257 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1258 14 zero_gravi
      -- fast interrupts (custom) --
1259 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1260 11 zero_gravi
      -- system time input from MTIME --
1261
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1262 15 zero_gravi
      -- physical memory protection --
1263
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1264
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1265 2 zero_gravi
      -- bus access exceptions --
1266
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1267
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1268
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1269
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1270
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1271
      be_load_i     : in  std_ulogic; -- bus error on load data access
1272 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1273 2 zero_gravi
    );
1274
  end component;
1275
 
1276
  -- Component: CPU Register File -----------------------------------------------------------
1277
  -- -------------------------------------------------------------------------------------------
1278
  component neorv32_cpu_regfile
1279
    generic (
1280 62 zero_gravi
      CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension?
1281 2 zero_gravi
    );
1282
    port (
1283
      -- global control --
1284
      clk_i  : in  std_ulogic; -- global clock, rising edge
1285
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1286
      -- data input --
1287
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1288
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1289
      -- data output --
1290
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1291 65 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
1292 2 zero_gravi
    );
1293
  end component;
1294
 
1295
  -- Component: CPU ALU ---------------------------------------------------------------------
1296
  -- -------------------------------------------------------------------------------------------
1297
  component neorv32_cpu_alu
1298 11 zero_gravi
    generic (
1299 61 zero_gravi
      -- RISC-V CPU Extensions --
1300 66 zero_gravi
      CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
1301 62 zero_gravi
      CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
1302
      CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
1303
      CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1304 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu : boolean; -- implement custom (instr.) functions unit?
1305 61 zero_gravi
      -- Extension Options --
1306 62 zero_gravi
      FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
1307
      FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
1308 11 zero_gravi
    );
1309 2 zero_gravi
    port (
1310
      -- global control --
1311
      clk_i       : in  std_ulogic; -- global clock, rising edge
1312
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1313
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1314
      -- data input --
1315
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1316
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1317 68 zero_gravi
      pc_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- current PC
1318
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- next PC
1319 2 zero_gravi
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1320 61 zero_gravi
      csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1321 2 zero_gravi
      -- data output --
1322 65 zero_gravi
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
1323 2 zero_gravi
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1324 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1325 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1326 2 zero_gravi
      -- status --
1327 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1328 2 zero_gravi
    );
1329
  end component;
1330
 
1331 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1332
  -- -------------------------------------------------------------------------------------------
1333
  component neorv32_cpu_cp_shifter
1334
    generic (
1335 62 zero_gravi
      FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations
1336 61 zero_gravi
    );
1337
    port (
1338
      -- global control --
1339
      clk_i   : in  std_ulogic; -- global clock, rising edge
1340
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1341
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1342
      start_i : in  std_ulogic; -- trigger operation
1343
      -- data input --
1344
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1345 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1346 61 zero_gravi
      -- result and status --
1347
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1348
      valid_o : out std_ulogic -- data output valid
1349
    );
1350
  end component;
1351
 
1352 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1353 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1354
  component neorv32_cpu_cp_muldiv
1355 19 zero_gravi
    generic (
1356 62 zero_gravi
      FAST_MUL_EN : boolean; -- use DSPs for faster multiplication
1357
      DIVISION_EN : boolean  -- implement divider hardware
1358 19 zero_gravi
    );
1359 2 zero_gravi
    port (
1360
      -- global control --
1361
      clk_i   : in  std_ulogic; -- global clock, rising edge
1362
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1363
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1364 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1365 2 zero_gravi
      -- data input --
1366
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1367
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1368
      -- result and status --
1369
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1370
      valid_o : out std_ulogic -- data output valid
1371
    );
1372
  end component;
1373
 
1374 63 zero_gravi
  -- Component: CPU Co-Processor Bit-Manipulation Unit ('B' extension) ----------------------
1375
  -- -------------------------------------------------------------------------------------------
1376
  component neorv32_cpu_cp_bitmanip is
1377
    generic (
1378 66 zero_gravi
      FAST_SHIFT_EN : boolean  -- use barrel shifter for shift operations
1379 63 zero_gravi
    );
1380
    port (
1381
      -- global control --
1382
      clk_i   : in  std_ulogic; -- global clock, rising edge
1383
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1384
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1385
      start_i : in  std_ulogic; -- trigger operation
1386
      -- data input --
1387
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1388
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1389
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1390 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1391 63 zero_gravi
      -- result and status --
1392
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1393
      valid_o : out std_ulogic -- data output valid
1394
    );
1395
  end component;
1396
 
1397 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1398 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1399
  component neorv32_cpu_cp_fpu
1400
    port (
1401
      -- global control --
1402 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1403
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1404
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1405
      start_i  : in  std_ulogic; -- trigger operation
1406 52 zero_gravi
      -- data input --
1407 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1408 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1409
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1410 52 zero_gravi
      -- result and status --
1411 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1412
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1413
      valid_o  : out std_ulogic -- data output valid
1414 52 zero_gravi
    );
1415
  end component;
1416
 
1417 72 zero_gravi
  -- Component: CPU Co-Processor Custom (Instr.) Functions Unit ('Zxcfu' extension) ---------
1418
  -- -------------------------------------------------------------------------------------------
1419
  component neorv32_cpu_cp_cfu
1420
    port (
1421
      -- global control --
1422
      clk_i   : in  std_ulogic; -- global clock, rising edge
1423
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1424
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1425
      start_i : in  std_ulogic; -- trigger operation
1426
      -- data input --
1427
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1428
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1429
      -- result and status --
1430
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1431
      valid_o : out std_ulogic -- data output valid
1432
    );
1433
  end component;
1434
 
1435 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1436
  -- -------------------------------------------------------------------------------------------
1437
  component neorv32_cpu_bus
1438
    generic (
1439 62 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
1440
      CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
1441 15 zero_gravi
      -- Physical memory protection (PMP) --
1442 62 zero_gravi
      PMP_NUM_REGIONS       : natural; -- number of regions (0..64)
1443
      PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1444 2 zero_gravi
    );
1445
    port (
1446
      -- global control --
1447 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1448
      rstn_i        : in  std_ulogic := '0'; -- global reset, low-active, async
1449
      ctrl_i        : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1450 12 zero_gravi
      -- cpu instruction fetch interface --
1451 70 zero_gravi
      fetch_pc_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1452
      instr_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1453
      i_wait_o      : out std_ulogic; -- wait for fetch to complete
1454 12 zero_gravi
      --
1455 70 zero_gravi
      ma_instr_o    : out std_ulogic; -- misaligned instruction address
1456
      be_instr_o    : out std_ulogic; -- bus error on instruction access
1457 12 zero_gravi
      -- cpu data access interface --
1458 70 zero_gravi
      addr_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1459
      wdata_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1460
      rdata_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1461
      mar_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1462
      d_wait_o      : out std_ulogic; -- wait for access to complete
1463 12 zero_gravi
      --
1464 70 zero_gravi
      excl_state_o  : out std_ulogic; -- atomic/exclusive access status
1465
      ma_load_o     : out std_ulogic; -- misaligned load data address
1466
      ma_store_o    : out std_ulogic; -- misaligned store data address
1467
      be_load_o     : out std_ulogic; -- bus error on load data access
1468
      be_store_o    : out std_ulogic; -- bus error on store data access
1469 15 zero_gravi
      -- physical memory protection --
1470 70 zero_gravi
      pmp_addr_i    : in  pmp_addr_if_t; -- addresses
1471
      pmp_ctrl_i    : in  pmp_ctrl_if_t; -- configs
1472 12 zero_gravi
      -- instruction bus --
1473 70 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1474
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1475
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1476
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1477
      i_bus_we_o    : out std_ulogic; -- write enable
1478
      i_bus_re_o    : out std_ulogic; -- read enable
1479
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1480
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1481
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1482
      i_bus_fence_o : out std_ulogic; -- fence operation
1483 12 zero_gravi
      -- data bus --
1484 70 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1485
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1486
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1487
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1488
      d_bus_we_o    : out std_ulogic; -- write enable
1489
      d_bus_re_o    : out std_ulogic; -- read enable
1490
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1491
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1492
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1493
      d_bus_fence_o : out std_ulogic  -- fence operation
1494 2 zero_gravi
    );
1495
  end component;
1496
 
1497 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1498
  -- -------------------------------------------------------------------------------------------
1499
  component neorv32_bus_keeper is
1500
    port (
1501
      -- host access --
1502 66 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1503
      rstn_i     : in  std_ulogic; -- global reset, low-active, async
1504
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1505
      rden_i     : in  std_ulogic; -- read enable
1506
      wren_i     : in  std_ulogic; -- write enable
1507 70 zero_gravi
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1508 66 zero_gravi
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1509
      ack_o      : out std_ulogic; -- transfer acknowledge
1510
      err_o      : out std_ulogic; -- transfer error
1511
      -- bus monitoring --
1512
      bus_addr_i : in  std_ulogic_vector(31 downto 0); -- address
1513
      bus_rden_i : in  std_ulogic; -- read enable
1514
      bus_wren_i : in  std_ulogic; -- write enable
1515
      bus_ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1516 68 zero_gravi
      bus_err_i  : in  std_ulogic; -- transfer error from bus system
1517
      bus_tmo_i  : in  std_ulogic; -- transfer timeout (external interface)
1518 70 zero_gravi
      bus_ext_i  : in  std_ulogic; -- external bus access
1519
      bus_xip_i  : in  std_ulogic  -- pending XIP access
1520 57 zero_gravi
    );
1521
  end component;
1522
 
1523 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1524 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1525 45 zero_gravi
  component neorv32_icache
1526 41 zero_gravi
    generic (
1527 62 zero_gravi
      ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2
1528
      ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2
1529
      ICACHE_NUM_SETS   : natural  -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1530 41 zero_gravi
    );
1531
    port (
1532
      -- global control --
1533 70 zero_gravi
      clk_i        : in  std_ulogic; -- global clock, rising edge
1534
      rstn_i       : in  std_ulogic; -- global reset, low-active, async
1535
      clear_i      : in  std_ulogic; -- cache clear
1536 41 zero_gravi
      -- host controller interface --
1537 70 zero_gravi
      host_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1538
      host_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1539
      host_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1540
      host_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1541
      host_we_i    : in  std_ulogic; -- write enable
1542
      host_re_i    : in  std_ulogic; -- read enable
1543
      host_ack_o   : out std_ulogic; -- bus transfer acknowledge
1544
      host_err_o   : out std_ulogic; -- bus transfer error
1545 41 zero_gravi
      -- peripheral bus interface --
1546 70 zero_gravi
      bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1547
      bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1548
      bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1549
      bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1550
      bus_we_o     : out std_ulogic; -- write enable
1551
      bus_re_o     : out std_ulogic; -- read enable
1552
      bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1553
      bus_err_i    : in  std_ulogic  -- bus transfer error
1554 41 zero_gravi
    );
1555
  end component;
1556
 
1557 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1558
  -- -------------------------------------------------------------------------------------------
1559
  component neorv32_busswitch
1560
    generic (
1561 62 zero_gravi
      PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
1562
      PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
1563 12 zero_gravi
    );
1564
    port (
1565
      -- global control --
1566 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1567
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1568 12 zero_gravi
      -- controller interface a --
1569 70 zero_gravi
      ca_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1570
      ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1571
      ca_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1572
      ca_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1573
      ca_bus_we_i    : in  std_ulogic; -- write enable
1574
      ca_bus_re_i    : in  std_ulogic; -- read enable
1575
      ca_bus_lock_i  : in  std_ulogic; -- exclusive access request
1576
      ca_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1577
      ca_bus_err_o   : out std_ulogic; -- bus transfer error
1578 12 zero_gravi
      -- controller interface b --
1579 70 zero_gravi
      cb_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1580
      cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1581
      cb_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1582
      cb_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1583
      cb_bus_we_i    : in  std_ulogic; -- write enable
1584
      cb_bus_re_i    : in  std_ulogic; -- read enable
1585
      cb_bus_lock_i  : in  std_ulogic; -- exclusive access request
1586
      cb_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1587
      cb_bus_err_o   : out std_ulogic; -- bus transfer error
1588 12 zero_gravi
      -- peripheral bus --
1589 70 zero_gravi
      p_bus_src_o    : out std_ulogic; -- access source: 0 = A, 1 = B
1590
      p_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1591
      p_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1592
      p_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1593
      p_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1594
      p_bus_we_o     : out std_ulogic; -- write enable
1595
      p_bus_re_o     : out std_ulogic; -- read enable
1596
      p_bus_lock_o   : out std_ulogic; -- exclusive access request
1597
      p_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1598
      p_bus_err_i    : in  std_ulogic  -- bus transfer error
1599 12 zero_gravi
    );
1600
  end component;
1601
 
1602 70 zero_gravi
  -- Component: CPU Compressed Instructions De-Compressor -----------------------------------
1603 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1604
  component neorv32_cpu_decompressor
1605
    port (
1606
      -- instruction input --
1607
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1608
      -- instruction output --
1609
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1610
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1611
    );
1612
  end component;
1613
 
1614
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1615
  -- -------------------------------------------------------------------------------------------
1616
  component neorv32_imem
1617
    generic (
1618 62 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0); -- memory base address
1619
      IMEM_SIZE    : natural; -- processor-internal instruction memory size in bytes
1620
      IMEM_AS_IROM : boolean  -- implement IMEM as pre-initialized read-only memory?
1621 2 zero_gravi
    );
1622
    port (
1623
      clk_i  : in  std_ulogic; -- global clock line
1624
      rden_i : in  std_ulogic; -- read enable
1625
      wren_i : in  std_ulogic; -- write enable
1626
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1627
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1628
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1629
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1630 72 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
1631
      err_o  : out std_ulogic  -- transfer error
1632 2 zero_gravi
    );
1633
  end component;
1634
 
1635
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1636
  -- -------------------------------------------------------------------------------------------
1637
  component neorv32_dmem
1638
    generic (
1639 62 zero_gravi
      DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address
1640
      DMEM_SIZE : natural -- processor-internal instruction memory size in bytes
1641 2 zero_gravi
    );
1642
    port (
1643
      clk_i  : in  std_ulogic; -- global clock line
1644
      rden_i : in  std_ulogic; -- read enable
1645
      wren_i : in  std_ulogic; -- write enable
1646
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1647
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1648
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1649
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1650
      ack_o  : out std_ulogic -- transfer acknowledge
1651
    );
1652
  end component;
1653
 
1654
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1655
  -- -------------------------------------------------------------------------------------------
1656
  component neorv32_boot_rom
1657 23 zero_gravi
    generic (
1658 62 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address
1659 23 zero_gravi
    );
1660 2 zero_gravi
    port (
1661
      clk_i  : in  std_ulogic; -- global clock line
1662
      rden_i : in  std_ulogic; -- read enable
1663 72 zero_gravi
      wren_i : in  std_ulogic; -- write enable
1664 2 zero_gravi
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1665
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1666 72 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
1667
      err_o  : out std_ulogic  -- transfer error
1668 2 zero_gravi
    );
1669
  end component;
1670
 
1671
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1672
  -- -------------------------------------------------------------------------------------------
1673
  component neorv32_mtime
1674
    port (
1675
      -- host access --
1676 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1677
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1678
      rden_i : in  std_ulogic; -- read enable
1679
      wren_i : in  std_ulogic; -- write enable
1680
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1681
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1682
      ack_o  : out std_ulogic; -- transfer acknowledge
1683 11 zero_gravi
      -- time output for CPU --
1684 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1685 2 zero_gravi
      -- interrupt --
1686 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1687 2 zero_gravi
    );
1688
  end component;
1689
 
1690
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1691
  -- -------------------------------------------------------------------------------------------
1692
  component neorv32_gpio
1693
    port (
1694
      -- host access --
1695
      clk_i  : in  std_ulogic; -- global clock line
1696
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1697
      rden_i : in  std_ulogic; -- read enable
1698
      wren_i : in  std_ulogic; -- write enable
1699
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1700
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1701
      ack_o  : out std_ulogic; -- transfer acknowledge
1702 70 zero_gravi
      err_o  : out std_ulogic; -- transfer error
1703 2 zero_gravi
      -- parallel io --
1704 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1705
      gpio_i : in  std_ulogic_vector(63 downto 0)
1706 2 zero_gravi
    );
1707
  end component;
1708
 
1709
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1710
  -- -------------------------------------------------------------------------------------------
1711
  component neorv32_wdt
1712 69 zero_gravi
    generic (
1713
      DEBUG_EN : boolean -- CPU debug mode implemented?
1714
    );
1715 2 zero_gravi
    port (
1716
      -- host access --
1717
      clk_i       : in  std_ulogic; -- global clock line
1718
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1719
      rden_i      : in  std_ulogic; -- read enable
1720
      wren_i      : in  std_ulogic; -- write enable
1721
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1722
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1723
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1724
      ack_o       : out std_ulogic; -- transfer acknowledge
1725 69 zero_gravi
      -- CPU in debug mode? --
1726
      cpu_debug_i : in  std_ulogic;
1727 2 zero_gravi
      -- clock generator --
1728
      clkgen_en_o : out std_ulogic; -- enable clock generator
1729
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1730
      -- timeout event --
1731
      irq_o       : out std_ulogic; -- timeout IRQ
1732
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1733
    );
1734
  end component;
1735
 
1736
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1737
  -- -------------------------------------------------------------------------------------------
1738
  component neorv32_uart
1739 50 zero_gravi
    generic (
1740 65 zero_gravi
      UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1)
1741
      UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1
1742
      UART_TX_FIFO : natural  -- TX fifo depth, has to be a power of two, min 1
1743 50 zero_gravi
    );
1744 2 zero_gravi
    port (
1745
      -- host access --
1746
      clk_i       : in  std_ulogic; -- global clock line
1747
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1748
      rden_i      : in  std_ulogic; -- read enable
1749
      wren_i      : in  std_ulogic; -- write enable
1750
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1751
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1752
      ack_o       : out std_ulogic; -- transfer acknowledge
1753
      -- clock generator --
1754
      clkgen_en_o : out std_ulogic; -- enable clock generator
1755
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1756
      -- com lines --
1757
      uart_txd_o  : out std_ulogic;
1758
      uart_rxd_i  : in  std_ulogic;
1759 51 zero_gravi
      -- hardware flow control --
1760
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1761
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1762 2 zero_gravi
      -- interrupts --
1763 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1764
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1765 2 zero_gravi
    );
1766
  end component;
1767
 
1768
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1769
  -- -------------------------------------------------------------------------------------------
1770
  component neorv32_spi
1771
    port (
1772
      -- host access --
1773
      clk_i       : in  std_ulogic; -- global clock line
1774
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1775
      rden_i      : in  std_ulogic; -- read enable
1776
      wren_i      : in  std_ulogic; -- write enable
1777
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1778
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1779
      ack_o       : out std_ulogic; -- transfer acknowledge
1780
      -- clock generator --
1781
      clkgen_en_o : out std_ulogic; -- enable clock generator
1782
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1783
      -- com lines --
1784 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1785
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1786
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1787 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1788
      -- interrupt --
1789 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1790 2 zero_gravi
    );
1791
  end component;
1792
 
1793
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1794
  -- -------------------------------------------------------------------------------------------
1795
  component neorv32_twi
1796
    port (
1797
      -- host access --
1798
      clk_i       : in  std_ulogic; -- global clock line
1799
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1800
      rden_i      : in  std_ulogic; -- read enable
1801
      wren_i      : in  std_ulogic; -- write enable
1802
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1803
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1804
      ack_o       : out std_ulogic; -- transfer acknowledge
1805
      -- clock generator --
1806
      clkgen_en_o : out std_ulogic; -- enable clock generator
1807
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1808
      -- com lines --
1809
      twi_sda_io  : inout std_logic; -- serial data line
1810
      twi_scl_io  : inout std_logic; -- serial clock line
1811
      -- interrupt --
1812 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1813 2 zero_gravi
    );
1814
  end component;
1815
 
1816
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1817
  -- -------------------------------------------------------------------------------------------
1818
  component neorv32_pwm
1819 60 zero_gravi
    generic (
1820 62 zero_gravi
      NUM_CHANNELS : natural -- number of PWM channels (0..60)
1821 60 zero_gravi
    );
1822 2 zero_gravi
    port (
1823
      -- host access --
1824
      clk_i       : in  std_ulogic; -- global clock line
1825
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1826
      rden_i      : in  std_ulogic; -- read enable
1827
      wren_i      : in  std_ulogic; -- write enable
1828
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1829
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1830
      ack_o       : out std_ulogic; -- transfer acknowledge
1831
      -- clock generator --
1832
      clkgen_en_o : out std_ulogic; -- enable clock generator
1833
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1834
      -- pwm output channels --
1835 70 zero_gravi
      pwm_o       : out std_ulogic_vector(59 downto 0)
1836 2 zero_gravi
    );
1837
  end component;
1838
 
1839
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1840
  -- -------------------------------------------------------------------------------------------
1841
  component neorv32_trng
1842
    port (
1843
      -- host access --
1844
      clk_i  : in  std_ulogic; -- global clock line
1845
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1846
      rden_i : in  std_ulogic; -- read enable
1847
      wren_i : in  std_ulogic; -- write enable
1848
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1849
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1850
      ack_o  : out std_ulogic  -- transfer acknowledge
1851
    );
1852
  end component;
1853
 
1854
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1855
  -- -------------------------------------------------------------------------------------------
1856
  component neorv32_wishbone
1857
    generic (
1858 23 zero_gravi
      -- Internal instruction memory --
1859 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1860
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1861 23 zero_gravi
      -- Internal data memory --
1862 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1863
      MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
1864
      -- Interface Configuration --
1865
      BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1866
      PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1867
      BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
1868
      ASYNC_RX          : boolean  -- use register buffer for RX data when false
1869 2 zero_gravi
    );
1870
    port (
1871
      -- global control --
1872 70 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1873
      rstn_i     : in  std_ulogic; -- global reset line, low-active
1874 2 zero_gravi
      -- host access --
1875 70 zero_gravi
      src_i      : in  std_ulogic; -- access type (0: data, 1:instruction)
1876
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1877
      rden_i     : in  std_ulogic; -- read enable
1878
      wren_i     : in  std_ulogic; -- write enable
1879
      ben_i      : in  std_ulogic_vector(03 downto 0); -- byte write enable
1880
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1881
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1882
      lock_i     : in  std_ulogic; -- exclusive access request
1883
      ack_o      : out std_ulogic; -- transfer acknowledge
1884
      err_o      : out std_ulogic; -- transfer error
1885
      tmo_o      : out std_ulogic; -- transfer timeout
1886
      priv_i     : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
1887
      ext_o      : out std_ulogic; -- active external access
1888
      -- xip configuration --
1889
      xip_en_i   : in  std_ulogic; -- XIP module enabled
1890
      xip_page_i : in  std_ulogic_vector(03 downto 0); -- XIP memory page
1891 2 zero_gravi
      -- wishbone interface --
1892 70 zero_gravi
      wb_tag_o   : out std_ulogic_vector(02 downto 0); -- request tag
1893
      wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
1894
      wb_dat_i   : in  std_ulogic_vector(31 downto 0); -- read data
1895
      wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
1896
      wb_we_o    : out std_ulogic; -- read/write
1897
      wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1898
      wb_stb_o   : out std_ulogic; -- strobe
1899
      wb_cyc_o   : out std_ulogic; -- valid cycle
1900
      wb_lock_o  : out std_ulogic; -- exclusive access request
1901
      wb_ack_i   : in  std_ulogic; -- transfer acknowledge
1902
      wb_err_i   : in  std_ulogic  -- transfer error
1903 2 zero_gravi
    );
1904
  end component;
1905
 
1906 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1907 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1908 47 zero_gravi
  component neorv32_cfs
1909
    generic (
1910 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1911 62 zero_gravi
      CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
1912
      CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
1913 23 zero_gravi
    );
1914 34 zero_gravi
    port (
1915
      -- host access --
1916
      clk_i       : in  std_ulogic; -- global clock line
1917
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1918
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1919
      rden_i      : in  std_ulogic; -- read enable
1920 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1921 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1922
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1923
      ack_o       : out std_ulogic; -- transfer acknowledge
1924 68 zero_gravi
      err_o       : out std_ulogic; -- transfer error
1925 34 zero_gravi
      -- clock generator --
1926
      clkgen_en_o : out std_ulogic; -- enable clock generator
1927 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1928
      -- interrupt --
1929
      irq_o       : out std_ulogic; -- interrupt request
1930
      -- custom io (conduit) --
1931 62 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs
1932
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs
1933 34 zero_gravi
    );
1934
  end component;
1935
 
1936 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1937 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1938 61 zero_gravi
  component neorv32_neoled
1939 62 zero_gravi
    generic (
1940
      FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two)
1941
    );
1942 49 zero_gravi
    port (
1943
      -- host access --
1944
      clk_i       : in  std_ulogic; -- global clock line
1945
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1946
      rden_i      : in  std_ulogic; -- read enable
1947
      wren_i      : in  std_ulogic; -- write enable
1948
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1949
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1950
      ack_o       : out std_ulogic; -- transfer acknowledge
1951
      -- clock generator --
1952
      clkgen_en_o : out std_ulogic; -- enable clock generator
1953
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1954 61 zero_gravi
      -- interrupt --
1955
      irq_o       : out std_ulogic; -- interrupt request
1956
      -- NEOLED output --
1957
      neoled_o    : out std_ulogic -- serial async data line
1958 49 zero_gravi
    );
1959
  end component;
1960
 
1961 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1962 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1963 61 zero_gravi
  component neorv32_slink
1964
    generic (
1965 62 zero_gravi
      SLINK_NUM_TX  : natural; -- number of TX links (0..8)
1966
      SLINK_NUM_RX  : natural; -- number of TX links (0..8)
1967
      SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
1968
      SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
1969 61 zero_gravi
    );
1970 52 zero_gravi
    port (
1971
      -- host access --
1972 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1973
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1974
      rden_i         : in  std_ulogic; -- read enable
1975
      wren_i         : in  std_ulogic; -- write enable
1976
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1977
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1978
      ack_o          : out std_ulogic; -- transfer acknowledge
1979 52 zero_gravi
      -- interrupt --
1980 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1981
      irq_rx_o       : out std_ulogic; -- data received
1982
      -- TX stream interfaces --
1983
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1984
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1985
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1986
      -- RX stream interfaces --
1987
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1988
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1989
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
1990 52 zero_gravi
    );
1991
  end component;
1992
 
1993 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
1994
  -- -------------------------------------------------------------------------------------------
1995
  component neorv32_xirq
1996
    generic (
1997 62 zero_gravi
      XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
1998
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
1999
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
2000 61 zero_gravi
    );
2001
    port (
2002
      -- host access --
2003
      clk_i     : in  std_ulogic; -- global clock line
2004
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
2005
      rden_i    : in  std_ulogic; -- read enable
2006
      wren_i    : in  std_ulogic; -- write enable
2007
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
2008
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
2009
      ack_o     : out std_ulogic; -- transfer acknowledge
2010
      -- external interrupt lines --
2011 70 zero_gravi
      xirq_i    : in  std_ulogic_vector(31 downto 0);
2012 61 zero_gravi
      -- CPU interrupt --
2013
      cpu_irq_o : out std_ulogic
2014
    );
2015
  end component;
2016
 
2017 67 zero_gravi
  -- Component: General Purpose Timer (GPTMR) -----------------------------------------------
2018
  -- -------------------------------------------------------------------------------------------
2019
  component neorv32_gptmr
2020
    port (
2021
      -- host access --
2022
      clk_i       : in  std_ulogic; -- global clock line
2023
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
2024
      rden_i      : in  std_ulogic; -- read enable
2025
      wren_i      : in  std_ulogic; -- write enable
2026
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
2027
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
2028
      ack_o       : out std_ulogic; -- transfer acknowledge
2029
      -- clock generator --
2030
      clkgen_en_o : out std_ulogic; -- enable clock generator
2031
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2032
      -- interrupt --
2033
      irq_o       : out std_ulogic -- transmission done interrupt
2034
    );
2035
  end component;
2036
 
2037 70 zero_gravi
  -- Component: Execute In Place Module (XIP) -----------------------------------------------
2038
  -- -------------------------------------------------------------------------------------------
2039
  component neorv32_xip
2040
    port (
2041
      -- globals --
2042
      clk_i       : in  std_ulogic; -- global clock line
2043
      rstn_i      : in  std_ulogic; -- global reset line, low-active
2044
      -- host access: control register access port --
2045
      ct_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
2046
      ct_rden_i   : in  std_ulogic; -- read enable
2047
      ct_wren_i   : in  std_ulogic; -- write enable
2048
      ct_data_i   : in  std_ulogic_vector(31 downto 0); -- data in
2049
      ct_data_o   : out std_ulogic_vector(31 downto 0); -- data out
2050
      ct_ack_o    : out std_ulogic; -- transfer acknowledge
2051
      -- host access: instruction fetch access port (read-only) --
2052
      if_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
2053
      if_rden_i   : in  std_ulogic; -- read enable
2054
      if_data_o   : out std_ulogic_vector(31 downto 0); -- data out
2055
      if_ack_o    : out std_ulogic; -- transfer acknowledge
2056
      -- status --
2057
      xip_en_o    : out std_ulogic; -- XIP enable
2058
      xip_acc_o   : out std_ulogic; -- pending XIP access
2059
      xip_page_o  : out std_ulogic_vector(03 downto 0); -- XIP page
2060
      -- clock generator --
2061
      clkgen_en_o : out std_ulogic; -- enable clock generator
2062
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2063
      -- SPI device interface --
2064
      spi_csn_o   : out std_ulogic; -- chip-select, low-active
2065
      spi_clk_o   : out std_ulogic; -- serial clock
2066
      spi_data_i  : in  std_ulogic; -- device data output
2067
      spi_data_o  : out std_ulogic  -- controller data output
2068
    );
2069
  end component;
2070
 
2071 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
2072
  -- -------------------------------------------------------------------------------------------
2073 12 zero_gravi
  component neorv32_sysinfo
2074
    generic (
2075
      -- General --
2076 72 zero_gravi
      CLOCK_FREQUENCY      : natural; -- clock frequency of clk_i in Hz
2077
      INT_BOOTLOADER_EN    : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
2078 63 zero_gravi
      -- Physical memory protection (PMP) --
2079 72 zero_gravi
      PMP_NUM_REGIONS      : natural; -- number of regions (0..64)
2080 23 zero_gravi
      -- Internal Instruction memory --
2081 72 zero_gravi
      MEM_INT_IMEM_EN      : boolean; -- implement processor-internal instruction memory
2082
      MEM_INT_IMEM_SIZE    : natural; -- size of processor-internal instruction memory in bytes
2083 23 zero_gravi
      -- Internal Data memory --
2084 72 zero_gravi
      MEM_INT_DMEM_EN      : boolean; -- implement processor-internal data memory
2085
      MEM_INT_DMEM_SIZE    : natural; -- size of processor-internal data memory in bytes
2086 41 zero_gravi
      -- Internal Cache memory --
2087 72 zero_gravi
      ICACHE_EN            : boolean; -- implement instruction cache
2088
      ICACHE_NUM_BLOCKS    : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
2089
      ICACHE_BLOCK_SIZE    : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
2090
      ICACHE_ASSOCIATIVITY : natural; -- i-cache: associativity (min 1), has to be a power 2
2091 23 zero_gravi
      -- External memory interface --
2092 72 zero_gravi
      MEM_EXT_EN           : boolean; -- implement external memory bus interface?
2093
      MEM_EXT_BIG_ENDIAN   : boolean; -- byte order: true=big-endian, false=little-endian
2094 59 zero_gravi
      -- On-Chip Debugger --
2095 72 zero_gravi
      ON_CHIP_DEBUGGER_EN  : boolean; -- implement OCD?
2096 12 zero_gravi
      -- Processor peripherals --
2097 72 zero_gravi
      IO_GPIO_EN           : boolean; -- implement general purpose input/output port unit (GPIO)?
2098
      IO_MTIME_EN          : boolean; -- implement machine system timer (MTIME)?
2099
      IO_UART0_EN          : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
2100
      IO_UART1_EN          : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
2101
      IO_SPI_EN            : boolean; -- implement serial peripheral interface (SPI)?
2102
      IO_TWI_EN            : boolean; -- implement two-wire interface (TWI)?
2103
      IO_PWM_NUM_CH        : natural; -- number of PWM channels to implement
2104
      IO_WDT_EN            : boolean; -- implement watch dog timer (WDT)?
2105
      IO_TRNG_EN           : boolean; -- implement true random number generator (TRNG)?
2106
      IO_CFS_EN            : boolean; -- implement custom functions subsystem (CFS)?
2107
      IO_SLINK_EN          : boolean; -- implement stream link interface?
2108
      IO_NEOLED_EN         : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
2109
      IO_XIRQ_NUM_CH       : natural; -- number of external interrupt (XIRQ) channels to implement
2110
      IO_GPTMR_EN          : boolean; -- implement general purpose timer (GPTMR)?
2111
      IO_XIP_EN            : boolean  -- implement execute in place module (XIP)?
2112 12 zero_gravi
    );
2113
    port (
2114
      -- host access --
2115
      clk_i  : in  std_ulogic; -- global clock line
2116
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
2117
      rden_i : in  std_ulogic; -- read enable
2118 70 zero_gravi
      wren_i : in  std_ulogic; -- write enable
2119 12 zero_gravi
      data_o : out std_ulogic_vector(31 downto 0); -- data out
2120 70 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
2121
      err_o  : out std_ulogic  -- transfer error
2122 12 zero_gravi
    );
2123
  end component;
2124
 
2125 62 zero_gravi
  -- Component: General Purpose FIFO --------------------------------------------------------
2126 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
2127
  component neorv32_fifo
2128
    generic (
2129 62 zero_gravi
      FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1
2130
      FIFO_WIDTH : natural; -- size of data elements in fifo
2131
      FIFO_RSYNC : boolean; -- false = async read; true = sync read
2132
      FIFO_SAFE  : boolean  -- true = allow read/write only if entry available
2133 61 zero_gravi
    );
2134
    port (
2135
      -- control --
2136
      clk_i   : in  std_ulogic; -- clock, rising edge
2137
      rstn_i  : in  std_ulogic; -- async reset, low-active
2138
      clear_i : in  std_ulogic; -- sync reset, high-active
2139 62 zero_gravi
      level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level
2140 65 zero_gravi
      half_o  : out std_ulogic; -- FIFO is at least half full
2141 61 zero_gravi
      -- write port --
2142
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
2143
      we_i    : in  std_ulogic; -- write enable
2144
      free_o  : out std_ulogic; -- at least one entry is free when set
2145
      -- read port --
2146
      re_i    : in  std_ulogic; -- read enable
2147
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
2148
      avail_o : out std_ulogic  -- data available when set
2149
    );
2150
  end component;
2151
 
2152 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
2153
  -- -------------------------------------------------------------------------------------------
2154
  component neorv32_debug_dm
2155
    port (
2156
      -- global control --
2157
      clk_i            : in  std_ulogic; -- global clock line
2158
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2159
      -- debug module interface (DMI) --
2160
      dmi_rstn_i       : in  std_ulogic;
2161
      dmi_req_valid_i  : in  std_ulogic;
2162
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
2163
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
2164
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
2165
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
2166
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
2167
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
2168
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
2169
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
2170
      -- CPU bus access --
2171 71 zero_gravi
      cpu_debug_i      : in  std_ulogic; -- CPU is in debug mode
2172 59 zero_gravi
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
2173
      cpu_rden_i       : in  std_ulogic; -- read enable
2174
      cpu_wren_i       : in  std_ulogic; -- write enable
2175
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
2176
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
2177
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
2178
      -- CPU control --
2179
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
2180
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
2181
    );
2182
  end component;
2183
 
2184
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
2185
  -- -------------------------------------------------------------------------------------------
2186
  component neorv32_debug_dtm
2187
    generic (
2188 62 zero_gravi
      IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version
2189
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0); -- part number
2190
      IDCODE_MANID   : std_ulogic_vector(10 downto 0)  -- manufacturer id
2191 59 zero_gravi
    );
2192
    port (
2193
      -- global control --
2194
      clk_i            : in  std_ulogic; -- global clock line
2195
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2196
      -- jtag connection --
2197
      jtag_trst_i      : in  std_ulogic;
2198
      jtag_tck_i       : in  std_ulogic;
2199
      jtag_tdi_i       : in  std_ulogic;
2200
      jtag_tdo_o       : out std_ulogic;
2201
      jtag_tms_i       : in  std_ulogic;
2202
      -- debug module interface (DMI) --
2203
      dmi_rstn_o       : out std_ulogic;
2204
      dmi_req_valid_o  : out std_ulogic;
2205
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
2206
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
2207
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
2208
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
2209
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
2210
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
2211
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
2212
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
2213
    );
2214
  end component;
2215
 
2216 2 zero_gravi
end neorv32_package;
2217
 
2218
package body neorv32_package is
2219
 
2220 69 zero_gravi
  -- Function: Minimal required number of bits to represent <input> numbers -----------------
2221 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2222
  function index_size_f(input : natural) return natural is
2223
  begin
2224
    for i in 0 to natural'high loop
2225
      if (2**i >= input) then
2226
        return i;
2227
      end if;
2228
    end loop; -- i
2229
    return 0;
2230
  end function index_size_f;
2231
 
2232
  -- Function: Conditional select natural ---------------------------------------------------
2233
  -- -------------------------------------------------------------------------------------------
2234
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2235
  begin
2236
    if (cond = true) then
2237
      return val_t;
2238
    else
2239
      return val_f;
2240
    end if;
2241
  end function cond_sel_natural_f;
2242
 
2243 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2244
  -- -------------------------------------------------------------------------------------------
2245
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2246
  begin
2247
    if (cond = true) then
2248
      return val_t;
2249
    else
2250
      return val_f;
2251
    end if;
2252
  end function cond_sel_int_f;
2253
 
2254 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2255
  -- -------------------------------------------------------------------------------------------
2256
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2257
  begin
2258
    if (cond = true) then
2259
      return val_t;
2260
    else
2261
      return val_f;
2262
    end if;
2263
  end function cond_sel_stdulogicvector_f;
2264
 
2265 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2266
  -- -------------------------------------------------------------------------------------------
2267
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2268
  begin
2269
    if (cond = true) then
2270
      return val_t;
2271
    else
2272
      return val_f;
2273
    end if;
2274
  end function cond_sel_stdulogic_f;
2275
 
2276 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2277 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2278 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2279
  begin
2280
    if (cond = true) then
2281
      return val_t;
2282
    else
2283
      return val_f;
2284
    end if;
2285
  end function cond_sel_string_f;
2286
 
2287
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2288
  -- -------------------------------------------------------------------------------------------
2289 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2290
  begin
2291
    if (cond = true) then
2292
      return '1';
2293
    else
2294
      return '0';
2295
    end if;
2296
  end function bool_to_ulogic_f;
2297
 
2298 71 zero_gravi
  -- Function: Convert binary to gray -------------------------------------------------------
2299
  -- -------------------------------------------------------------------------------------------
2300
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector is
2301
    variable tmp_v : std_ulogic_vector(input'range);
2302
  begin
2303
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2304
    for i in input'length-2 downto 0 loop
2305
      tmp_v(i) := input(i) xor input(i+1);
2306
    end loop; -- i
2307
    return tmp_v;
2308
  end function bin_to_gray_f;
2309
 
2310
  -- Function: Convert gray to binary -------------------------------------------------------
2311
  -- -------------------------------------------------------------------------------------------
2312
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector is
2313
    variable tmp_v : std_ulogic_vector(input'range);
2314
  begin
2315
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2316
    for i in input'length-2 downto 0 loop
2317
      tmp_v(i) := tmp_v(i+1) xor input(i);
2318
    end loop; -- i
2319
    return tmp_v;
2320
  end function gray_to_bin_f;
2321
 
2322 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2323 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2324 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2325 2 zero_gravi
    variable tmp_v : std_ulogic;
2326
  begin
2327 56 zero_gravi
    tmp_v := '0';
2328 65 zero_gravi
    for i in a'range loop
2329
      tmp_v := tmp_v or a(i);
2330
    end loop; -- i
2331 2 zero_gravi
    return tmp_v;
2332 60 zero_gravi
  end function or_reduce_f;
2333 2 zero_gravi
 
2334 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2335 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2336 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2337 2 zero_gravi
    variable tmp_v : std_ulogic;
2338
  begin
2339 56 zero_gravi
    tmp_v := '1';
2340 65 zero_gravi
    for i in a'range loop
2341
      tmp_v := tmp_v and a(i);
2342
    end loop; -- i
2343 2 zero_gravi
    return tmp_v;
2344 60 zero_gravi
  end function and_reduce_f;
2345 2 zero_gravi
 
2346 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2347 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2348 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2349 2 zero_gravi
    variable tmp_v : std_ulogic;
2350
  begin
2351 56 zero_gravi
    tmp_v := '0';
2352 65 zero_gravi
    for i in a'range loop
2353
      tmp_v := tmp_v xor a(i);
2354
    end loop; -- i
2355 2 zero_gravi
    return tmp_v;
2356 60 zero_gravi
  end function xor_reduce_f;
2357 2 zero_gravi
 
2358 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2359 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2360
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2361
    variable output_v : character;
2362
  begin
2363
    case input is
2364 7 zero_gravi
      when x"0"   => output_v := '0';
2365
      when x"1"   => output_v := '1';
2366
      when x"2"   => output_v := '2';
2367
      when x"3"   => output_v := '3';
2368
      when x"4"   => output_v := '4';
2369
      when x"5"   => output_v := '5';
2370
      when x"6"   => output_v := '6';
2371
      when x"7"   => output_v := '7';
2372
      when x"8"   => output_v := '8';
2373
      when x"9"   => output_v := '9';
2374
      when x"a"   => output_v := 'a';
2375
      when x"b"   => output_v := 'b';
2376
      when x"c"   => output_v := 'c';
2377
      when x"d"   => output_v := 'd';
2378
      when x"e"   => output_v := 'e';
2379
      when x"f"   => output_v := 'f';
2380 6 zero_gravi
      when others => output_v := '?';
2381
    end case;
2382
    return output_v;
2383
  end function to_hexchar_f;
2384
 
2385 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2386
  -- -------------------------------------------------------------------------------------------
2387
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2388
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2389
  begin
2390
    case input is
2391
      when '0'       => hex_value_v := x"0";
2392
      when '1'       => hex_value_v := x"1";
2393
      when '2'       => hex_value_v := x"2";
2394
      when '3'       => hex_value_v := x"3";
2395
      when '4'       => hex_value_v := x"4";
2396
      when '5'       => hex_value_v := x"5";
2397
      when '6'       => hex_value_v := x"6";
2398
      when '7'       => hex_value_v := x"7";
2399
      when '8'       => hex_value_v := x"8";
2400
      when '9'       => hex_value_v := x"9";
2401
      when 'a' | 'A' => hex_value_v := x"a";
2402
      when 'b' | 'B' => hex_value_v := x"b";
2403
      when 'c' | 'C' => hex_value_v := x"c";
2404
      when 'd' | 'D' => hex_value_v := x"d";
2405
      when 'e' | 'E' => hex_value_v := x"e";
2406
      when 'f' | 'F' => hex_value_v := x"f";
2407
      when others    => hex_value_v := (others => 'X');
2408
    end case;
2409
    return hex_value_v;
2410
  end function hexchar_to_stdulogicvector_f;
2411
 
2412 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2413
  -- -------------------------------------------------------------------------------------------
2414
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2415
    variable output_v : std_ulogic_vector(input'range);
2416
  begin
2417
    for i in 0 to input'length-1 loop
2418
      output_v(input'length-i-1) := input(i);
2419
    end loop; -- i
2420
    return output_v;
2421
  end function bit_rev_f;
2422
 
2423 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2424
  -- -------------------------------------------------------------------------------------------
2425
  function is_power_of_two_f(input : natural) return boolean is
2426
  begin
2427 38 zero_gravi
    if (input = 1) then -- 2^0
2428 36 zero_gravi
      return true;
2429 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2430
      return true;
2431 36 zero_gravi
    else
2432
      return false;
2433
    end if;
2434
  end function is_power_of_two_f;
2435
 
2436 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2437
  -- -------------------------------------------------------------------------------------------
2438
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2439
    variable output_v : std_ulogic_vector(input'range);
2440
  begin
2441
    output_v(07 downto 00) := input(31 downto 24);
2442
    output_v(15 downto 08) := input(23 downto 16);
2443
    output_v(23 downto 16) := input(15 downto 08);
2444
    output_v(31 downto 24) := input(07 downto 00);
2445
    return output_v;
2446
  end function bswap32_f;
2447
 
2448 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2449
  -- -------------------------------------------------------------------------------------------
2450 62 zero_gravi
  function char_to_lower_f(ch : character) return character is
2451 61 zero_gravi
    variable res: character;
2452
   begin
2453
     case ch is
2454
       when 'A'    => res := 'a';
2455
       when 'B'    => res := 'b';
2456
       when 'C'    => res := 'c';
2457
       when 'D'    => res := 'd';
2458
       when 'E'    => res := 'e';
2459
       when 'F'    => res := 'f';
2460
       when 'G'    => res := 'g';
2461
       when 'H'    => res := 'h';
2462
       when 'I'    => res := 'i';
2463
       when 'J'    => res := 'j';
2464
       when 'K'    => res := 'k';
2465
       when 'L'    => res := 'l';
2466
       when 'M'    => res := 'm';
2467
       when 'N'    => res := 'n';
2468
       when 'O'    => res := 'o';
2469
       when 'P'    => res := 'p';
2470
       when 'Q'    => res := 'q';
2471
       when 'R'    => res := 'r';
2472
       when 'S'    => res := 's';
2473
       when 'T'    => res := 't';
2474
       when 'U'    => res := 'u';
2475
       when 'V'    => res := 'v';
2476
       when 'W'    => res := 'w';
2477
       when 'X'    => res := 'x';
2478
       when 'Y'    => res := 'y';
2479
       when 'Z'    => res := 'z';
2480
       when others => res := ch;
2481
      end case;
2482
    return res;
2483 62 zero_gravi
  end function char_to_lower_f;
2484 61 zero_gravi
 
2485
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2486
  -- -------------------------------------------------------------------------------------------
2487
  function str_equal_f(str0 : string; str1 : string) return boolean is
2488
    variable tmp0_v : string(str0'range);
2489
    variable tmp1_v : string(str1'range);
2490
  begin
2491
    if (str0'length /= str1'length) then -- equal length?
2492
      return false;
2493
    else
2494
      -- convert to lower case --
2495
      for i in str0'range loop
2496 62 zero_gravi
        tmp0_v(i) := char_to_lower_f(str0(i));
2497 61 zero_gravi
      end loop;
2498
      for i in str1'range loop
2499 62 zero_gravi
        tmp1_v(i) := char_to_lower_f(str1(i));
2500 61 zero_gravi
      end loop;
2501
      -- compare lowercase strings --
2502
      if (tmp0_v = tmp1_v) then
2503
        return true;
2504
      else
2505
        return false;
2506
      end if;
2507
    end if;
2508
  end function str_equal_f;
2509
 
2510 63 zero_gravi
  -- Function: Population count (number of set bits) ----------------------------------------
2511
  -- -------------------------------------------------------------------------------------------
2512
  function popcount_f(input : std_ulogic_vector) return natural is
2513
    variable cnt_v : natural range 0 to input'length;
2514
  begin
2515
    cnt_v := 0;
2516
    for i in input'length-1 downto 0 loop
2517
      if (input(i) = '1') then
2518
        cnt_v := cnt_v + 1;
2519
      end if;
2520
    end loop; -- i
2521
    return cnt_v;
2522
  end function popcount_f;
2523
 
2524
  -- Function: Count leading zeros ----------------------------------------------------------
2525
  -- -------------------------------------------------------------------------------------------
2526
  function leading_zeros_f(input : std_ulogic_vector) return natural is
2527
    variable cnt_v : natural range 0 to input'length;
2528
  begin
2529
    cnt_v := 0;
2530
    for i in input'length-1 downto 0 loop
2531
      if (input(i) = '0') then
2532
        cnt_v := cnt_v + 1;
2533
      else
2534
        exit;
2535
      end if;
2536
    end loop; -- i
2537
    return cnt_v;
2538
  end function leading_zeros_f;
2539
 
2540 61 zero_gravi
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2541
  -- -------------------------------------------------------------------------------------------
2542
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2543
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2544
    variable mem_v : mem32_t(0 to depth-1);
2545
  begin
2546 62 zero_gravi
    mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2547
    if (init'length > depth) then
2548
      return mem_v;
2549
    end if;
2550
    for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2551
      mem_v(idx_v) := init(idx_v);
2552
    end loop; -- idx_v
2553 61 zero_gravi
    return mem_v;
2554
  end function mem32_init_f;
2555
 
2556 62 zero_gravi
 
2557 70 zero_gravi
  -- Finally set deferred constant, see IEEE 1076-2008 14.4.2.1 (NEORV32 Issue #242) --------
2558
  -- -------------------------------------------------------------------------------------------
2559
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
2560
 
2561
 
2562 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.