OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_slink.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 61 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Stream Link Interface (SLINK) >>                                                 #
3
-- # ********************************************************************************************* #
4 62 zero_gravi
-- # Up to 8 input (RX) and up to 8 output (TX) stream links are supported. Each link provides an  #
5
-- # internal FIFO for buffering. Each stream direction provides a global interrupt to indicate    #
6
-- # that a RX link has received new data or that a TX link has finished sending data              #
7
-- # (if FIFO_DEPTH = 1) OR if RX/TX link FIFO has become half full (if FIFO_DEPTH > 1).           #
8 61 zero_gravi
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
12
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_slink is
48
  generic (
49 62 zero_gravi
    SLINK_NUM_TX  : natural; -- number of TX links (0..8)
50
    SLINK_NUM_RX  : natural; -- number of TX links (0..8)
51
    SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
52
    SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
53 61 zero_gravi
  );
54
  port (
55
    -- host access --
56
    clk_i          : in  std_ulogic; -- global clock line
57
    addr_i         : in  std_ulogic_vector(31 downto 0); -- address
58
    rden_i         : in  std_ulogic; -- read enable
59
    wren_i         : in  std_ulogic; -- write enable
60
    data_i         : in  std_ulogic_vector(31 downto 0); -- data in
61
    data_o         : out std_ulogic_vector(31 downto 0); -- data out
62
    ack_o          : out std_ulogic; -- transfer acknowledge
63
    -- interrupt --
64
    irq_tx_o       : out std_ulogic; -- transmission done
65
    irq_rx_o       : out std_ulogic; -- data received
66
    -- TX stream interfaces --
67
    slink_tx_dat_o : out sdata_8x32_t; -- output data
68
    slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
69
    slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
70
    -- RX stream interfaces --
71
    slink_rx_dat_i : in  sdata_8x32_t; -- input data
72
    slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
73
    slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
74
  );
75
end neorv32_slink;
76
 
77
architecture neorv32_slink_rtl of neorv32_slink is
78
 
79
  -- IO space: module base address --
80
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
81
  constant lo_abb_c : natural := index_size_f(slink_size_c); -- low address boundary bit
82
 
83 62 zero_gravi
  -- control register bits --
84
  constant ctrl_rx_num_lsb_c  : natural :=  0; -- r/-: number of implemented RX links
85
  constant ctrl_rx_num_msb_c  : natural :=  3;
86 61 zero_gravi
  --
87 62 zero_gravi
  constant ctrl_tx_num_lsb_c  : natural :=  4; -- r/-: number of implemented TX links
88
  constant ctrl_tx_num_msb_c  : natural :=  7;
89 61 zero_gravi
  --
90 62 zero_gravi
  constant ctrl_rx_size_lsb_c : natural :=  8; -- r/-: log2(RX FIFO size)
91
  constant ctrl_rx_size_msb_c : natural := 11;
92 61 zero_gravi
  --
93 62 zero_gravi
  constant ctrl_tx_size_lsb_c : natural := 12; -- r/-: log2(TX FIFO size)
94
  constant ctrl_tx_size_msb_c : natural := 15;
95 61 zero_gravi
  --
96 62 zero_gravi
  constant ctrl_en_c          : natural := 31; -- r/w: global enable
97 61 zero_gravi
 
98 65 zero_gravi
  -- interrupt configuration register bits --
99
  constant irq_rx_en_lsb_c   : natural :=  0; -- r/w: enable RX interrupt for link 0..7
100
  constant irq_rx_en_msb_c   : natural :=  7;
101
  --
102
  constant irq_rx_mode_lsb_c : natural :=  8; -- r/w: RX IRQ mode: 0=FIFO at least half-full; 1=FIFO not empty
103
  constant irq_rx_mode_msb_c : natural := 15;
104
  --
105
  constant irq_tx_en_lsb_c   : natural := 16; -- r/w: enable TX interrupt for link 0..7
106
  constant irq_tx_en_msb_c   : natural := 23;
107
  --
108
  constant irq_tx_mode_lsb_c : natural := 24; -- r/w: TX IRQ mode: 0=FIFO less than half-full; 1=FIFO not full
109
  constant irq_tx_mode_msb_c : natural := 31;
110
 
111 62 zero_gravi
  -- status register bits --
112 65 zero_gravi
  constant status_rx_avail_lsb_c : natural :=  0; -- r/-: set if RX link 0..7 FIFO is NOT empty
113 62 zero_gravi
  constant status_rx_avail_msb_c : natural :=  7;
114
  --
115 65 zero_gravi
  constant status_tx_free_lsb_c  : natural :=  8; -- r/-: set if TX link 0..7 FIFO is NOT full
116 62 zero_gravi
  constant status_tx_free_msb_c  : natural := 15;
117
  --
118 65 zero_gravi
  constant status_rx_half_lsb_c  : natural := 16; -- r/-: set if RX link 0..7 FIFO fill-level is >= half-full
119 62 zero_gravi
  constant status_rx_half_msb_c  : natural := 23;
120
  --
121 65 zero_gravi
  constant status_tx_half_lsb_c  : natural := 24; -- r/-: set if TX link 0..7 FIFO fill-level is > half-full
122 62 zero_gravi
  constant status_tx_half_msb_c  : natural := 31;
123
 
124 61 zero_gravi
  -- bus access control --
125
  signal ack_read  : std_ulogic;
126
  signal ack_write : std_ulogic;
127
  signal acc_en    : std_ulogic;
128
  signal addr      : std_ulogic_vector(31 downto 0);
129
 
130
  -- control register --
131
  signal enable : std_ulogic; -- global enable
132
 
133 65 zero_gravi
  -- IRQ configuration register --
134
  signal irq_rx_en   : std_ulogic_vector(7 downto 0);
135
  signal irq_rx_mode : std_ulogic_vector(7 downto 0);
136
  signal irq_tx_en   : std_ulogic_vector(7 downto 0);
137
  signal irq_tx_mode : std_ulogic_vector(7 downto 0);
138
 
139 61 zero_gravi
  -- stream link fifo interface --
140
  type fifo_data_t is array (0 to 7) of std_ulogic_vector(31 downto 0);
141 65 zero_gravi
  signal rx_fifo_rdata : fifo_data_t;
142
  signal fifo_clear    : std_ulogic;
143
  signal link_sel      : std_ulogic_vector(7 downto 0);
144
  signal tx_fifo_we    : std_ulogic_vector(7 downto 0);
145
  signal rx_fifo_re    : std_ulogic_vector(7 downto 0);
146
  signal rx_fifo_avail : std_ulogic_vector(7 downto 0);
147
  signal tx_fifo_free  : std_ulogic_vector(7 downto 0);
148
  signal rx_fifo_half  : std_ulogic_vector(7 downto 0);
149
  signal tx_fifo_half  : std_ulogic_vector(7 downto 0);
150 61 zero_gravi
 
151
begin
152
 
153
  -- Sanity Checks --------------------------------------------------------------------------
154
  -- -------------------------------------------------------------------------------------------
155
  assert not (is_power_of_two_f(SLINK_TX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_TX_FIFO> has to be a power of two." severity error;
156
  assert not (SLINK_TX_FIFO > 2**15) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_TX_FIFO> has to be 1..32768." severity error;
157
  --
158
  assert not (is_power_of_two_f(SLINK_RX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_RX_FIFO> has to be a power of two." severity error;
159
  assert not (SLINK_RX_FIFO > 2**15) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_RX_FIFO> has to be 1..32768." severity error;
160
  --
161
  assert not (SLINK_NUM_RX > 8) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_NUM_RX> has to be 0..8." severity error;
162
  assert not (SLINK_NUM_TX > 8) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_NUM_TX> has to be 0..8." severity error;
163
  --
164
  assert false report "NEORV32 PROCESSOR CONFIG NOTE: Implementing " & integer'image(SLINK_NUM_RX) & " RX and " &
165
  integer'image(SLINK_NUM_TX) & " TX stream links." severity note;
166
 
167
 
168
  -- Access Control -------------------------------------------------------------------------
169
  -- -------------------------------------------------------------------------------------------
170
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = slink_base_c(hi_abb_c downto lo_abb_c)) else '0';
171
  addr   <= slink_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
172
 
173
 
174
  -- Read/Write Access ----------------------------------------------------------------------
175
  -- -------------------------------------------------------------------------------------------
176
  rw_access: process(clk_i)
177
  begin
178
    if rising_edge(clk_i) then
179
      -- write access --
180 65 zero_gravi
      ack_write <= '0';
181 61 zero_gravi
      if (acc_en = '1') and (wren_i = '1') then
182 65 zero_gravi
        if (addr(5) = '0') then -- control/status/irq
183
          if (addr(4 downto 3) = "00") then -- control register
184 62 zero_gravi
            enable <= data_i(ctrl_en_c);
185
          end if;
186 65 zero_gravi
          if (addr(4 downto 3) = "01") then -- IRQ configuration register
187
            for i in 0 to SLINK_NUM_RX-1 loop
188
              irq_rx_en(i)   <= data_i(i + irq_rx_en_lsb_c);
189
              irq_rx_mode(i) <= data_i(i + irq_rx_mode_lsb_c);
190
            end loop;
191
            for i in 0 to SLINK_NUM_TX-1 loop
192
              irq_tx_en(i)   <= data_i(i + irq_tx_en_lsb_c);
193
              irq_tx_mode(i) <= data_i(i + irq_tx_mode_lsb_c);
194
            end loop;
195
          end if;
196 61 zero_gravi
          ack_write <= '1';
197
        else -- TX links
198
          ack_write <= or_reduce_f(link_sel and tx_fifo_free);
199
        end if;
200
      end if;
201
 
202
      -- read access --
203 65 zero_gravi
      data_o   <= (others => '0');
204
      ack_read <= '0';
205 61 zero_gravi
      if (acc_en = '1') and (rden_i = '1') then
206 62 zero_gravi
        if (addr(5) = '0') then -- control/status registers
207 61 zero_gravi
          ack_read <= '1';
208 65 zero_gravi
          case addr(4 downto 3) is
209
            when "00" => -- control register
210
              data_o(ctrl_rx_num_msb_c  downto ctrl_rx_num_lsb_c)  <= std_ulogic_vector(to_unsigned(SLINK_NUM_RX, 4));
211
              data_o(ctrl_tx_num_msb_c  downto ctrl_tx_num_lsb_c)  <= std_ulogic_vector(to_unsigned(SLINK_NUM_TX, 4));
212
              data_o(ctrl_rx_size_msb_c downto ctrl_rx_size_lsb_c) <= std_ulogic_vector(to_unsigned(index_size_f(SLINK_RX_FIFO), 4));
213
              data_o(ctrl_tx_size_msb_c downto ctrl_tx_size_lsb_c) <= std_ulogic_vector(to_unsigned(index_size_f(SLINK_TX_FIFO), 4));
214
              data_o(ctrl_en_c)                                    <= enable;
215
            when "01" => -- IRQ configuration register
216
              for i in 0 to SLINK_NUM_RX-1 loop
217
                data_o(irq_rx_en_lsb_c   + i) <= irq_rx_en(i);
218
                data_o(irq_rx_mode_lsb_c + i) <= irq_rx_mode(i) or bool_to_ulogic_f(boolean(SLINK_RX_FIFO = 1)); -- tie to one if SLINK_RX_FIFO is 1
219
              end loop;
220
              for i in 0 to SLINK_NUM_TX-1 loop
221
                data_o(irq_tx_en_lsb_c   + i) <= irq_tx_en(i);
222
                data_o(irq_tx_mode_lsb_c + i) <= irq_tx_mode(i) or bool_to_ulogic_f(boolean(SLINK_TX_FIFO = 1)); -- tie to one if SLINK_TX_FIFO is 1
223
              end loop;
224
            when "10" | "11" => -- fifo status register
225
              data_o(status_rx_avail_msb_c downto status_rx_avail_lsb_c) <= rx_fifo_avail;
226
              data_o(status_tx_free_msb_c  downto status_tx_free_lsb_c)  <= tx_fifo_free;
227
              data_o(status_rx_half_msb_c  downto status_rx_half_lsb_c)  <= rx_fifo_half;
228
              data_o(status_tx_half_msb_c  downto status_tx_half_lsb_c)  <= tx_fifo_half;
229
            when others =>
230
              data_o <= (others => '0');
231
          end case;
232 61 zero_gravi
        else -- RX links
233
          data_o   <= rx_fifo_rdata(to_integer(unsigned(addr(4 downto 2))));
234
          ack_read <= or_reduce_f(link_sel and rx_fifo_avail);
235
        end if;
236
      end if;
237
    end if;
238
  end process rw_access;
239
 
240
  -- bus access acknowledge --
241
  ack_o <= ack_write or ack_read;
242
 
243
  -- link fifo reset (sync) --
244
  fifo_clear <= not enable;
245
 
246
 
247
  -- Interrupt Generator --------------------------------------------------------------------
248
  -- -------------------------------------------------------------------------------------------
249 62 zero_gravi
  irq_arbiter: process(clk_i)
250 65 zero_gravi
    variable rx_tmp_v : std_ulogic_vector(SLINK_NUM_RX-1 downto 0);
251
    variable tx_tmp_v : std_ulogic_vector(SLINK_NUM_TX-1 downto 0);
252 61 zero_gravi
  begin
253
    if rising_edge(clk_i) then
254 65 zero_gravi
      if (enable = '0') then -- no interrupts if unit is disabled
255
        irq_rx_o <= '0';
256
        irq_tx_o <= '0';
257 62 zero_gravi
      else
258 65 zero_gravi
 
259
        -- RX interrupt --
260
        if (SLINK_RX_FIFO = 1) then
261
          irq_rx_o <= or_reduce_f(irq_rx_en and rx_fifo_avail); -- fire if any RX_FIFO is not empty
262
        else
263
          rx_tmp_v := (others => '0');
264
          for i in 0 to SLINK_NUM_RX-1 loop
265
            if (irq_rx_mode(i) = '0') then -- fire if any RX_FIFO is at least half-full
266
              rx_tmp_v(i) := rx_fifo_half(i);
267
            else -- fire if any RX_FIFO is not empty (= data available)
268
              rx_tmp_v(i) := rx_fifo_avail(i);
269
            end if;
270
          end loop;
271
          irq_rx_o <= or_reduce_f(irq_rx_en and rx_tmp_v);
272 62 zero_gravi
        end if;
273 65 zero_gravi
 
274
        -- TX interrupt --
275
        if (SLINK_TX_FIFO = 1) then
276
          irq_tx_o <= or_reduce_f(irq_tx_en and tx_fifo_free); -- fire if any TX_FIFO is not full
277
        else
278
          tx_tmp_v := (others => '0');
279
          for i in 0 to SLINK_NUM_TX-1 loop
280
            if (irq_tx_mode(i) = '0') then -- fire if any RX_FIFO is less than half-full
281
              tx_tmp_v(i) := not rx_fifo_half(i);
282
            else -- fire if any RX_FIFO is not full (= free buffer space available)
283
              tx_tmp_v(i) := tx_fifo_free(i);
284
            end if;
285
          end loop;
286
          irq_tx_o <= or_reduce_f(irq_tx_en and tx_tmp_v);
287 62 zero_gravi
        end if;
288
      end if;
289 61 zero_gravi
    end if;
290 62 zero_gravi
  end process irq_arbiter;
291 61 zero_gravi
 
292
 
293
  -- Link Select ----------------------------------------------------------------------------
294
  -- -------------------------------------------------------------------------------------------
295
  link_select: process(addr)
296
  begin
297
    case addr(5 downto 2) is -- MSB = data fifo access at all?
298
      when "1000" => link_sel <= "00000001";
299
      when "1001" => link_sel <= "00000010";
300
      when "1010" => link_sel <= "00000100";
301
      when "1011" => link_sel <= "00001000";
302
      when "1100" => link_sel <= "00010000";
303
      when "1101" => link_sel <= "00100000";
304
      when "1110" => link_sel <= "01000000";
305
      when "1111" => link_sel <= "10000000";
306
      when others => link_sel <= "00000000";
307
    end case;
308
  end process link_select;
309
 
310
  fifo_access_gen:
311
  for i in 0 to 7 generate
312
    tx_fifo_we(i) <= link_sel(i) and acc_en and wren_i;
313
    rx_fifo_re(i) <= link_sel(i) and acc_en and rden_i;
314
  end generate;
315
 
316
 
317
  -- TX Link FIFOs --------------------------------------------------------------------------
318
  -- -------------------------------------------------------------------------------------------
319
  transmit_fifo_gen:
320
  for i in 0 to SLINK_NUM_TX-1 generate
321
    transmit_fifo_inst: neorv32_fifo
322
    generic map (
323
      FIFO_DEPTH => SLINK_TX_FIFO, -- number of fifo entries; has to be a power of two; min 1
324
      FIFO_WIDTH => 32,            -- size of data elements in fifo
325 65 zero_gravi
      FIFO_RSYNC => false,         -- async read
326
      FIFO_SAFE  => true           -- safe access
327 61 zero_gravi
    )
328
    port map (
329
      -- control --
330
      clk_i   => clk_i,             -- clock, rising edge
331
      rstn_i  => '1',               -- async reset, low-active
332
      clear_i => fifo_clear,        -- sync reset, high-active
333 65 zero_gravi
      level_o => open,              -- fill level
334
      half_o  => tx_fifo_half(i),   -- FIFO is at least half full
335 61 zero_gravi
      -- write port --
336
      wdata_i => data_i,            -- write data
337
      we_i    => tx_fifo_we(i),     -- write enable
338
      free_o  => tx_fifo_free(i),   -- at least one entry is free when set
339
      -- read port --
340
      re_i    => slink_tx_rdy_i(i), -- read enable
341
      rdata_o => slink_tx_dat_o(i), -- read data
342
      avail_o => slink_tx_val_o(i)  -- data available when set
343
    );
344
  end generate;
345
 
346
  -- terminate unimplemented links --
347
  transmit_fifo_gen_terminate:
348
  for i in SLINK_NUM_TX to 7 generate
349
    tx_fifo_free(i)   <= '0';
350
    slink_tx_dat_o(i) <= (others => '0');
351
    slink_tx_val_o(i) <= '0';
352 65 zero_gravi
    tx_fifo_half(i)   <= '0';
353 61 zero_gravi
  end generate;
354
 
355
 
356
  -- RX Link FIFOs --------------------------------------------------------------------------
357
  -- -------------------------------------------------------------------------------------------
358
  receive_fifo_gen:
359
  for i in 0 to SLINK_NUM_RX-1 generate
360
    receive_fifo_inst: neorv32_fifo
361
    generic map (
362
      FIFO_DEPTH => SLINK_RX_FIFO, -- number of fifo entries; has to be a power of two; min 1
363
      FIFO_WIDTH => 32,            -- size of data elements in fifo
364 65 zero_gravi
      FIFO_RSYNC => false,         -- async read
365
      FIFO_SAFE  => true           -- safe access
366 61 zero_gravi
    )
367
    port map (
368
      -- control --
369
      clk_i   => clk_i,             -- clock, rising edge
370
      rstn_i  => '1',               -- async reset, low-active
371
      clear_i => fifo_clear,        -- sync reset, high-active
372 65 zero_gravi
      level_o => open,              -- fill level
373
      half_o  => rx_fifo_half(i),   -- FIFO is at least half full
374 61 zero_gravi
      -- write port --
375
      wdata_i => slink_rx_dat_i(i), -- write data
376
      we_i    => slink_rx_val_i(i), -- write enable
377
      free_o  => slink_rx_rdy_o(i), -- at least one entry is free when set
378
      -- read port --
379
      re_i    => rx_fifo_re(i),     -- read enable
380
      rdata_o => rx_fifo_rdata(i),  -- read data
381
      avail_o => rx_fifo_avail(i)   -- data available when set
382
    );
383
  end generate;
384
 
385
  -- terminate unimplemented links --
386
  receive_fifo_gen_terminate:
387
  for i in SLINK_NUM_RX to 7 generate
388
    rx_fifo_avail(i)  <= '0';
389
    slink_rx_rdy_o(i) <= '0';
390
    rx_fifo_rdata(i)  <= (others => '0');
391 65 zero_gravi
    rx_fifo_half(i)   <= '0';
392 61 zero_gravi
  end generate;
393
 
394
 
395
end neorv32_slink_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.