OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_spi.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 6 zero_gravi
-- # << NEORV32 - Serial Peripheral Interface Controller (SPI) >>                                  #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 36 zero_gravi
-- # Frame format: 8/16/24/32-bit receive/transmit data, always MSB first, 2 clock modes,          #
5 50 zero_gravi
-- # 8 pre-scaled clocks (derived from system clock), 8 dedicated chip-select lines (low-active).  #
6 36 zero_gravi
-- # Interrupt: SPI_transfer_done                                                                  #
7 2 zero_gravi
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10 48 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
11 2 zero_gravi
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_spi is
47
  port (
48
    -- host access --
49
    clk_i       : in  std_ulogic; -- global clock line
50
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
51
    rden_i      : in  std_ulogic; -- read enable
52
    wren_i      : in  std_ulogic; -- write enable
53
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
54
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
55
    ack_o       : out std_ulogic; -- transfer acknowledge
56
    -- clock generator --
57
    clkgen_en_o : out std_ulogic; -- enable clock generator
58
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
59
    -- com lines --
60 6 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
61
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
62
    spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
63 2 zero_gravi
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
64
    -- interrupt --
65 48 zero_gravi
    irq_o       : out std_ulogic -- transmission done interrupt
66 2 zero_gravi
  );
67
end neorv32_spi;
68
 
69
architecture neorv32_spi_rtl of neorv32_spi is
70
 
71
  -- IO space: module base address --
72
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
73
  constant lo_abb_c : natural := index_size_f(spi_size_c); -- low address boundary bit
74
 
75 65 zero_gravi
  -- control register --
76
  constant ctrl_spi_cs0_c   : natural :=  0; -- r/w: spi CS 0
77
  constant ctrl_spi_cs1_c   : natural :=  1; -- r/w: spi CS 1
78
  constant ctrl_spi_cs2_c   : natural :=  2; -- r/w: spi CS 2
79
  constant ctrl_spi_cs3_c   : natural :=  3; -- r/w: spi CS 3
80
  constant ctrl_spi_cs4_c   : natural :=  4; -- r/w: spi CS 4
81
  constant ctrl_spi_cs5_c   : natural :=  5; -- r/w: spi CS 5
82
  constant ctrl_spi_cs6_c   : natural :=  6; -- r/w: spi CS 6
83
  constant ctrl_spi_cs7_c   : natural :=  7; -- r/w: spi CS 7
84 2 zero_gravi
  --
85 65 zero_gravi
  constant ctrl_spi_en_c    : natural :=  8; -- r/w: spi enable
86
  constant ctrl_spi_cpha_c  : natural :=  9; -- r/w: spi clock phase
87
  constant ctrl_spi_prsc0_c : natural := 10; -- r/w: spi prescaler select bit 0
88
  constant ctrl_spi_prsc1_c : natural := 11; -- r/w: spi prescaler select bit 1
89
  constant ctrl_spi_prsc2_c : natural := 12; -- r/w: spi prescaler select bit 2
90
  constant ctrl_spi_size0_c : natural := 13; -- r/w: data size (00:  8-bit, 01: 16-bit)
91
  constant ctrl_spi_size1_c : natural := 14; -- r/w: data size (10: 24-bit, 11: 32-bit)
92
  constant ctrl_spi_cpol_c  : natural := 15; -- r/w: spi clock polarity
93 2 zero_gravi
  --
94 65 zero_gravi
  constant ctrl_spi_busy_c  : natural := 31; -- r/-: spi transceiver is busy
95
  --
96
  signal ctrl : std_ulogic_vector(15 downto 0);
97 2 zero_gravi
 
98
  -- access control --
99
  signal acc_en : std_ulogic; -- module access enable
100
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
101
  signal wren   : std_ulogic; -- word write enable
102
  signal rden   : std_ulogic; -- read enable
103
 
104
  -- clock generator --
105 65 zero_gravi
  signal spi_clk_en : std_ulogic;
106 2 zero_gravi
 
107
  -- spi transceiver --
108 65 zero_gravi
  type rtx_engine_t is record
109
    busy     : std_ulogic;
110
    state0   : std_ulogic;
111
    state1   : std_ulogic;
112
    rtx_sreg : std_ulogic_vector(31 downto 0);
113
    bitcnt   : std_ulogic_vector(05 downto 0);
114
    bytecnt  : std_ulogic_vector(02 downto 0);
115
    sdi_ff0  : std_ulogic;
116
    sdi_ff1  : std_ulogic;
117
  end record;
118
  signal rtx_engine : rtx_engine_t;
119 2 zero_gravi
 
120
begin
121
 
122
  -- Access Control -------------------------------------------------------------------------
123
  -- -------------------------------------------------------------------------------------------
124
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = spi_base_c(hi_abb_c downto lo_abb_c)) else '0';
125
  addr   <= spi_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
126
  wren   <= acc_en and wren_i;
127
  rden   <= acc_en and rden_i;
128
 
129
 
130
  -- Read/Write Access ----------------------------------------------------------------------
131
  -- -------------------------------------------------------------------------------------------
132
  rw_access: process(clk_i)
133
  begin
134
    if rising_edge(clk_i) then
135 65 zero_gravi
      -- bus access acknowledge --
136
      ack_o <= rden or wren;
137
 
138 36 zero_gravi
      -- write access --
139 2 zero_gravi
      if (wren = '1') then
140 65 zero_gravi
        if (addr = spi_ctrl_addr_c) then -- control register
141
          ctrl(ctrl_spi_cs0_c)   <= data_i(ctrl_spi_cs0_c);
142
          ctrl(ctrl_spi_cs1_c)   <= data_i(ctrl_spi_cs1_c);
143
          ctrl(ctrl_spi_cs2_c)   <= data_i(ctrl_spi_cs2_c);
144
          ctrl(ctrl_spi_cs3_c)   <= data_i(ctrl_spi_cs3_c);
145
          ctrl(ctrl_spi_cs4_c)   <= data_i(ctrl_spi_cs4_c);
146
          ctrl(ctrl_spi_cs5_c)   <= data_i(ctrl_spi_cs5_c);
147
          ctrl(ctrl_spi_cs6_c)   <= data_i(ctrl_spi_cs6_c);
148
          ctrl(ctrl_spi_cs7_c)   <= data_i(ctrl_spi_cs7_c);
149
          --
150
          ctrl(ctrl_spi_en_c)    <= data_i(ctrl_spi_en_c);
151
          ctrl(ctrl_spi_cpha_c)  <= data_i(ctrl_spi_cpha_c);
152
          ctrl(ctrl_spi_prsc0_c) <= data_i(ctrl_spi_prsc0_c);
153
          ctrl(ctrl_spi_prsc1_c) <= data_i(ctrl_spi_prsc1_c);
154
          ctrl(ctrl_spi_prsc2_c) <= data_i(ctrl_spi_prsc2_c);
155
          ctrl(ctrl_spi_size0_c) <= data_i(ctrl_spi_size0_c);
156
          ctrl(ctrl_spi_size1_c) <= data_i(ctrl_spi_size1_c);
157
          ctrl(ctrl_spi_cpol_c)  <= data_i(ctrl_spi_cpol_c);
158 2 zero_gravi
        end if;
159
      end if;
160 65 zero_gravi
 
161 2 zero_gravi
      -- read access --
162
      data_o <= (others => '0');
163
      if (rden = '1') then
164 65 zero_gravi
        if (addr = spi_ctrl_addr_c) then -- control register
165
          data_o(ctrl_spi_cs0_c)   <= ctrl(ctrl_spi_cs0_c);
166
          data_o(ctrl_spi_cs1_c)   <= ctrl(ctrl_spi_cs1_c);
167
          data_o(ctrl_spi_cs2_c)   <= ctrl(ctrl_spi_cs2_c);
168
          data_o(ctrl_spi_cs3_c)   <= ctrl(ctrl_spi_cs3_c);
169
          data_o(ctrl_spi_cs4_c)   <= ctrl(ctrl_spi_cs4_c);
170
          data_o(ctrl_spi_cs5_c)   <= ctrl(ctrl_spi_cs5_c);
171
          data_o(ctrl_spi_cs6_c)   <= ctrl(ctrl_spi_cs6_c);
172
          data_o(ctrl_spi_cs7_c)   <= ctrl(ctrl_spi_cs7_c);
173 2 zero_gravi
          --
174 65 zero_gravi
          data_o(ctrl_spi_en_c)    <= ctrl(ctrl_spi_en_c);
175
          data_o(ctrl_spi_cpha_c)  <= ctrl(ctrl_spi_cpha_c);
176
          data_o(ctrl_spi_prsc0_c) <= ctrl(ctrl_spi_prsc0_c);
177
          data_o(ctrl_spi_prsc1_c) <= ctrl(ctrl_spi_prsc1_c);
178
          data_o(ctrl_spi_prsc2_c) <= ctrl(ctrl_spi_prsc2_c);
179
          data_o(ctrl_spi_size0_c) <= ctrl(ctrl_spi_size0_c);
180
          data_o(ctrl_spi_size1_c) <= ctrl(ctrl_spi_size1_c);
181
          data_o(ctrl_spi_cpol_c)  <= ctrl(ctrl_spi_cpol_c);
182 2 zero_gravi
          --
183 65 zero_gravi
          data_o(ctrl_spi_busy_c)  <= rtx_engine.busy;
184
        else -- data register (spi_rtx_addr_c)
185
          data_o <= rtx_engine.rtx_sreg;
186 2 zero_gravi
        end if;
187
      end if;
188
    end if;
189
  end process rw_access;
190
 
191 65 zero_gravi
  -- direct chip-select (CS), output is low-active --  
192 36 zero_gravi
  spi_csn_o(7 downto 0) <= not ctrl(ctrl_spi_cs7_c downto ctrl_spi_cs0_c);
193 2 zero_gravi
 
194
 
195 65 zero_gravi
  -- Transmission Data Size -----------------------------------------------------------------
196
  -- -------------------------------------------------------------------------------------------
197
  data_size: process(ctrl)
198
  begin
199
    case ctrl(ctrl_spi_size1_c downto ctrl_spi_size0_c) is
200
      when "00"   => rtx_engine.bytecnt <= "001"; -- 1-byte mode
201
      when "01"   => rtx_engine.bytecnt <= "010"; -- 2-byte mode
202
      when "10"   => rtx_engine.bytecnt <= "011"; -- 3-byte mode
203
      when others => rtx_engine.bytecnt <= "100"; -- 4-byte mode
204
    end case;
205
  end process data_size;
206
 
207
 
208 2 zero_gravi
  -- Clock Selection ------------------------------------------------------------------------
209
  -- -------------------------------------------------------------------------------------------
210 65 zero_gravi
  clkgen_en_o <= ctrl(ctrl_spi_en_c); -- clock generator enable
211
  spi_clk_en  <= clkgen_i(to_integer(unsigned(ctrl(ctrl_spi_prsc2_c downto ctrl_spi_prsc0_c)))); -- clock select
212 2 zero_gravi
 
213
 
214
  -- SPI Transceiver ------------------------------------------------------------------------
215
  -- -------------------------------------------------------------------------------------------
216
  spi_rtx_unit: process(clk_i)
217
  begin
218
    if rising_edge(clk_i) then
219 6 zero_gravi
      -- input (sdi) synchronizer --
220 65 zero_gravi
      rtx_engine.sdi_ff0 <= spi_sdi_i;
221
      rtx_engine.sdi_ff1 <= rtx_engine.sdi_ff0;
222 2 zero_gravi
 
223
      -- serial engine --
224 65 zero_gravi
      if (rtx_engine.state0 = '0') or (ctrl(ctrl_spi_en_c) = '0') then -- idle or disabled
225 36 zero_gravi
      -- --------------------------------------------------------------
226 65 zero_gravi
        spi_sck_o         <= ctrl(ctrl_spi_cpol_c);
227
        rtx_engine.bitcnt <= (others => '0');
228
        rtx_engine.state1 <= '0';
229 2 zero_gravi
        if (ctrl(ctrl_spi_en_c) = '0') then -- disabled
230 65 zero_gravi
          rtx_engine.busy <= '0';
231
        elsif (wren = '1') and (addr = spi_rtx_addr_c) then -- start new transmission
232
          rtx_engine.rtx_sreg <= data_i;
233
          rtx_engine.busy     <= '1';
234 2 zero_gravi
        end if;
235 65 zero_gravi
        rtx_engine.state0 <= rtx_engine.busy and spi_clk_en; -- start with next new clock pulse
236 2 zero_gravi
 
237
      else -- transmission in progress
238 36 zero_gravi
      -- --------------------------------------------------------------
239 65 zero_gravi
 
240
        if (rtx_engine.state1 = '0') then -- first half of bit transmission
241 36 zero_gravi
        -- --------------------------------------------------------------
242 65 zero_gravi
          spi_sck_o <= ctrl(ctrl_spi_cpha_c) xor ctrl(ctrl_spi_cpol_c);
243
          --
244 36 zero_gravi
          case ctrl(ctrl_spi_size1_c downto ctrl_spi_size0_c) is
245 65 zero_gravi
            when "00"   => spi_sdo_o <= rtx_engine.rtx_sreg(07); -- 8-bit mode
246
            when "01"   => spi_sdo_o <= rtx_engine.rtx_sreg(15); -- 16-bit mode
247
            when "10"   => spi_sdo_o <= rtx_engine.rtx_sreg(23); -- 24-bit mode
248
            when others => spi_sdo_o <= rtx_engine.rtx_sreg(31); -- 32-bit mode
249 36 zero_gravi
          end case;
250 65 zero_gravi
          --
251
          if (spi_clk_en = '1') then
252 2 zero_gravi
            if (ctrl(ctrl_spi_cpha_c) = '0') then
253 65 zero_gravi
              rtx_engine.rtx_sreg <= rtx_engine.rtx_sreg(30 downto 0) & rtx_engine.sdi_ff1;
254 2 zero_gravi
            end if;
255 65 zero_gravi
            rtx_engine.bitcnt <= std_ulogic_vector(unsigned(rtx_engine.bitcnt) + 1);
256
            rtx_engine.state1 <= '1';
257 2 zero_gravi
          end if;
258 36 zero_gravi
 
259 65 zero_gravi
        else -- second half of bit transmission
260 36 zero_gravi
        -- --------------------------------------------------------------
261 65 zero_gravi
          spi_sck_o <= ctrl(ctrl_spi_cpha_c) xnor ctrl(ctrl_spi_cpol_c);
262
          --
263
          if (spi_clk_en = '1') then
264 2 zero_gravi
            if (ctrl(ctrl_spi_cpha_c) = '1') then
265 65 zero_gravi
              rtx_engine.rtx_sreg <= rtx_engine.rtx_sreg(30 downto 0) & rtx_engine.sdi_ff1;
266 2 zero_gravi
            end if;
267 65 zero_gravi
            if (rtx_engine.bitcnt(5 downto 3) = rtx_engine.bytecnt) then
268
              rtx_engine.state0 <= '0';
269
              rtx_engine.busy   <= '0';
270 2 zero_gravi
            end if;
271 65 zero_gravi
            rtx_engine.state1 <= '0';
272 2 zero_gravi
          end if;
273 65 zero_gravi
 
274 2 zero_gravi
        end if;
275
      end if;
276
    end if;
277
  end process spi_rtx_unit;
278
 
279 36 zero_gravi
 
280 65 zero_gravi
  -- Interrupt ------------------------------------------------------------------------------
281 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
282 65 zero_gravi
  irq_o <= ctrl(ctrl_spi_en_c) and (not rtx_engine.busy); -- fire IRQ if transceiver idle
283 2 zero_gravi
 
284
 
285
end neorv32_spi_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.