OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_sysinfo.vhd] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - System/Processor Configuration Information Memory (SYSINFO) >>                   #
3
-- # ********************************************************************************************* #
4
-- # This unit provides information regarding the 'system' configuration - mostly derived from the #
5
-- # top's configuration generics.                                                                 #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_sysinfo is
46
  generic (
47
    -- General --
48
    CLOCK_FREQUENCY   : natural := 0;      -- clock frequency of clk_i in Hz
49
    BOOTLOADER_USE    : boolean := true;   -- implement processor-internal bootloader?
50
    USER_CODE         : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
51
    -- Memory configuration: Instruction memory --
52
    MEM_ISPACE_BASE   : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
53
    MEM_ISPACE_SIZE   : natural := 8*1024; -- total size of instruction memory space in byte
54
    MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
55
    MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
56
    MEM_INT_IMEM_ROM  : boolean := false;  -- implement processor-internal instruction memory as ROM
57
    -- Memory configuration: Data memory --
58
    MEM_DSPACE_BASE   : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
59
    MEM_DSPACE_SIZE   : natural := 4*1024; -- total size of data memory space in byte
60
    MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
61
    MEM_INT_DMEM_SIZE : natural := 4*1024; -- size of processor-internal data memory in bytes
62
    -- Memory configuration: External memory interface --
63
    MEM_EXT_USE       : boolean := false;  -- implement external memory bus interface?
64
    -- Processor peripherals --
65
    IO_GPIO_USE       : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
66
    IO_MTIME_USE      : boolean := true;   -- implement machine system timer (MTIME)?
67
    IO_UART_USE       : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
68
    IO_SPI_USE        : boolean := true;   -- implement serial peripheral interface (SPI)?
69
    IO_TWI_USE        : boolean := true;   -- implement two-wire interface (TWI)?
70
    IO_PWM_USE        : boolean := true;   -- implement pulse-width modulation unit (PWM)?
71
    IO_WDT_USE        : boolean := true;   -- implement watch dog timer (WDT)?
72
    IO_CLIC_USE       : boolean := true;   -- implement core local interrupt controller (CLIC)?
73
    IO_TRNG_USE       : boolean := true;   -- implement true random number generator (TRNG)?
74
    IO_DEVNULL_USE    : boolean := true    -- implement dummy device (DEVNULL)?
75
  );
76
  port (
77
    -- host access --
78
    clk_i  : in  std_ulogic; -- global clock line
79
    addr_i : in  std_ulogic_vector(31 downto 0); -- address
80
    rden_i : in  std_ulogic; -- read enable
81
    data_o : out std_ulogic_vector(31 downto 0); -- data out
82
    ack_o  : out std_ulogic  -- transfer acknowledge
83
  );
84
end neorv32_sysinfo;
85
 
86
architecture neorv32_sysinfo_rtl of neorv32_sysinfo is
87
 
88
  -- IO space: module base address --
89
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
90
  constant lo_abb_c : natural := index_size_f(sysinfo_size_c); -- low address boundary bit
91
 
92
  -- access control --
93
  signal acc_en    : std_ulogic; -- module access enable
94
  signal addr      : std_ulogic_vector(31 downto 0);
95
  signal rden      : std_ulogic;
96
  signal info_addr : std_ulogic_vector(02 downto 0);
97
 
98
  -- system information ROM --
99
  type info_mem_t is array (0 to 7) of std_ulogic_vector(31 downto 0);
100
  signal sysinfo_mem : info_mem_t;
101
 
102
begin
103
 
104
  -- Access Control -------------------------------------------------------------------------
105
  -- -------------------------------------------------------------------------------------------
106
  acc_en    <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = sysinfo_base_c(hi_abb_c downto lo_abb_c)) else '0';
107
  rden      <= acc_en and rden_i; -- valid read access
108
  addr      <= sysinfo_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
109
  info_addr <= addr(index_size_f(sysinfo_size_c)-1 downto 2);
110
 
111
 
112
  -- Construct Info ROM ---------------------------------------------------------------------
113
  -- -------------------------------------------------------------------------------------------
114
 
115
  -- SYSINFO(0): Processor (primary) clock frequency --
116
  sysinfo_mem(0) <= std_ulogic_vector(to_unsigned(CLOCK_FREQUENCY, 32));
117
 
118
  -- SYSINFO(1): Custom user code --
119
  sysinfo_mem(1) <= USER_CODE;
120
 
121
  -- SYSINFO(2): Implemented processor devices/features --
122
  -- Memory
123
  sysinfo_mem(2)(00) <= bool_to_ulogic_f(BOOTLOADER_USE);   -- implement processor-internal bootloader?
124
  sysinfo_mem(2)(01) <= bool_to_ulogic_f(MEM_EXT_USE);      -- implement external memory bus interface?
125
  sysinfo_mem(2)(02) <= bool_to_ulogic_f(MEM_INT_IMEM_USE); -- implement processor-internal instruction memory?
126
  sysinfo_mem(2)(03) <= bool_to_ulogic_f(MEM_INT_IMEM_ROM); -- implement processor-internal instruction memory as ROM?
127
  sysinfo_mem(2)(04) <= bool_to_ulogic_f(MEM_INT_DMEM_USE); -- implement processor-internal data memory?
128
  -- IO
129
  sysinfo_mem(2)(16) <= bool_to_ulogic_f(IO_GPIO_USE);      -- implement general purpose input/output port unit (GPIO)?
130
  sysinfo_mem(2)(17) <= bool_to_ulogic_f(IO_MTIME_USE);     -- implement machine system timer (MTIME)?
131
  sysinfo_mem(2)(18) <= bool_to_ulogic_f(IO_UART_USE);      -- implement universal asynchronous receiver/transmitter (UART)?
132
  sysinfo_mem(2)(19) <= bool_to_ulogic_f(IO_SPI_USE);       -- implement serial peripheral interface (SPI)?
133
  sysinfo_mem(2)(20) <= bool_to_ulogic_f(IO_TWI_USE);       -- implement two-wire interface (TWI)?
134
  sysinfo_mem(2)(21) <= bool_to_ulogic_f(IO_PWM_USE);       -- implement pulse-width modulation unit (PWM)?
135
  sysinfo_mem(2)(22) <= bool_to_ulogic_f(IO_WDT_USE);       -- implement watch dog timer (WDT)?
136
  sysinfo_mem(2)(23) <= bool_to_ulogic_f(IO_CLIC_USE);      -- implement core local interrupt controller (CLIC)?
137
  sysinfo_mem(2)(24) <= bool_to_ulogic_f(IO_TRNG_USE);      -- implement true random number generator (TRNG)?
138
  sysinfo_mem(2)(25) <= bool_to_ulogic_f(IO_DEVNULL_USE);   -- implement dummy device (DEVNULL)?
139
 
140
  -- SYSINFO(3): reserved --
141
  sysinfo_mem(3) <= (others => '0'); -- reserved - maybe for technology-specific configuration options?
142
 
143
  -- SYSINFO(4): Base address of instruction memory space --
144
  sysinfo_mem(4) <= MEM_ISPACE_BASE;
145
 
146
  -- SYSINFO(5): Base address of data memory space --
147
  sysinfo_mem(5) <= MEM_DSPACE_BASE;
148
 
149
  -- SYSINFO(6): Total size of instruction memory space in bytes --
150
  sysinfo_mem(6) <= std_ulogic_vector(to_unsigned(MEM_ISPACE_SIZE, 32));
151
 
152
  -- SYSINFO(7): Total size of data memory space in bytes --
153
  sysinfo_mem(7) <= std_ulogic_vector(to_unsigned(MEM_DSPACE_SIZE, 32));
154
 
155
 
156
  -- Read Access ----------------------------------------------------------------------------
157
  -- -------------------------------------------------------------------------------------------
158
  read_access: process(clk_i)
159
  begin
160
    if rising_edge(clk_i) then
161
      ack_o <= rden;
162
      if (rden = '1') then
163
        data_o <= sysinfo_mem(to_integer(unsigned(info_addr)));
164
      else
165
        data_o <= (others => '0');
166
      end if;
167
    end if;
168
  end process read_access;
169
 
170
 
171
end neorv32_sysinfo_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.