OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_sysinfo.vhd] - Blame information for rev 52

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - System/Processor Configuration Information Memory (SYSINFO) >>                   #
3
-- # ********************************************************************************************* #
4 47 zero_gravi
-- # This unit provides information regarding the NEORV32 processor system configuration -         #
5 18 zero_gravi
-- # mostly derived from the top's configuration generics.                                         #
6 12 zero_gravi
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9 44 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
10 12 zero_gravi
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_sysinfo is
46
  generic (
47
    -- General --
48 41 zero_gravi
    CLOCK_FREQUENCY      : natural := 0;      -- clock frequency of clk_i in Hz
49 44 zero_gravi
    BOOTLOADER_EN        : boolean := true;   -- implement processor-internal bootloader?
50 41 zero_gravi
    USER_CODE            : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
51 23 zero_gravi
    -- Internal Instruction memory --
52 44 zero_gravi
    MEM_INT_IMEM_EN      : boolean := true;   -- implement processor-internal instruction memory
53 41 zero_gravi
    MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
54
    MEM_INT_IMEM_ROM     : boolean := false;  -- implement processor-internal instruction memory as ROM
55 23 zero_gravi
    -- Internal Data memory --
56 44 zero_gravi
    MEM_INT_DMEM_EN      : boolean := true;   -- implement processor-internal data memory
57 41 zero_gravi
    MEM_INT_DMEM_SIZE    : natural := 4*1024; -- size of processor-internal data memory in bytes
58
    -- Internal Cache memory --
59 44 zero_gravi
    ICACHE_EN            : boolean := true;   -- implement instruction cache
60 41 zero_gravi
    ICACHE_NUM_BLOCKS    : natural := 4;      -- i-cache: number of blocks (min 2), has to be a power of 2
61
    ICACHE_BLOCK_SIZE    : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
62
    ICACHE_ASSOCIATIVITY : natural := 1;      -- i-cache: associativity (min 1), has to be a power 2
63 23 zero_gravi
    -- External memory interface --
64 44 zero_gravi
    MEM_EXT_EN           : boolean := false;  -- implement external memory bus interface?
65 12 zero_gravi
    -- Processor peripherals --
66 44 zero_gravi
    IO_GPIO_EN           : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
67
    IO_MTIME_EN          : boolean := true;   -- implement machine system timer (MTIME)?
68 50 zero_gravi
    IO_UART0_EN          : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
69
    IO_UART1_EN          : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
70 44 zero_gravi
    IO_SPI_EN            : boolean := true;   -- implement serial peripheral interface (SPI)?
71
    IO_TWI_EN            : boolean := true;   -- implement two-wire interface (TWI)?
72
    IO_PWM_EN            : boolean := true;   -- implement pulse-width modulation unit (PWM)?
73
    IO_WDT_EN            : boolean := true;   -- implement watch dog timer (WDT)?
74
    IO_TRNG_EN           : boolean := true;   -- implement true random number generator (TRNG)?
75 49 zero_gravi
    IO_CFS_EN            : boolean := true;   -- implement custom functions subsystem (CFS)?
76 52 zero_gravi
    IO_NCO_EN            : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
77
    IO_NEOLED_EN         : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
78 12 zero_gravi
  );
79
  port (
80
    -- host access --
81
    clk_i  : in  std_ulogic; -- global clock line
82
    addr_i : in  std_ulogic_vector(31 downto 0); -- address
83
    rden_i : in  std_ulogic; -- read enable
84
    data_o : out std_ulogic_vector(31 downto 0); -- data out
85
    ack_o  : out std_ulogic  -- transfer acknowledge
86
  );
87
end neorv32_sysinfo;
88
 
89
architecture neorv32_sysinfo_rtl of neorv32_sysinfo is
90
 
91
  -- IO space: module base address --
92
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
93
  constant lo_abb_c : natural := index_size_f(sysinfo_size_c); -- low address boundary bit
94
 
95
  -- access control --
96
  signal acc_en    : std_ulogic; -- module access enable
97
  signal addr      : std_ulogic_vector(31 downto 0);
98
  signal rden      : std_ulogic;
99
  signal info_addr : std_ulogic_vector(02 downto 0);
100
 
101
  -- system information ROM --
102
  type info_mem_t is array (0 to 7) of std_ulogic_vector(31 downto 0);
103
  signal sysinfo_mem : info_mem_t;
104
 
105
begin
106
 
107
  -- Access Control -------------------------------------------------------------------------
108
  -- -------------------------------------------------------------------------------------------
109
  acc_en    <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = sysinfo_base_c(hi_abb_c downto lo_abb_c)) else '0';
110
  rden      <= acc_en and rden_i; -- valid read access
111
  addr      <= sysinfo_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
112
  info_addr <= addr(index_size_f(sysinfo_size_c)-1 downto 2);
113
 
114
 
115
  -- Construct Info ROM ---------------------------------------------------------------------
116
  -- -------------------------------------------------------------------------------------------
117
 
118
  -- SYSINFO(0): Processor (primary) clock frequency --
119
  sysinfo_mem(0) <= std_ulogic_vector(to_unsigned(CLOCK_FREQUENCY, 32));
120
 
121 23 zero_gravi
  -- SYSINFO(1): Custom user code/ID --
122 12 zero_gravi
  sysinfo_mem(1) <= USER_CODE;
123
 
124
  -- SYSINFO(2): Implemented processor devices/features --
125 23 zero_gravi
  -- Memory --
126 44 zero_gravi
  sysinfo_mem(2)(00) <= bool_to_ulogic_f(BOOTLOADER_EN);     -- processor-internal bootloader implemented?
127
  sysinfo_mem(2)(01) <= bool_to_ulogic_f(MEM_EXT_EN);        -- external memory bus interface implemented?
128
  sysinfo_mem(2)(02) <= bool_to_ulogic_f(MEM_INT_IMEM_EN);   -- processor-internal instruction memory implemented?
129 40 zero_gravi
  sysinfo_mem(2)(03) <= bool_to_ulogic_f(MEM_INT_IMEM_ROM);  -- processor-internal instruction memory implemented as ROM?
130 44 zero_gravi
  sysinfo_mem(2)(04) <= bool_to_ulogic_f(MEM_INT_DMEM_EN);   -- processor-internal data memory implemented?
131 40 zero_gravi
  sysinfo_mem(2)(05) <= bool_to_ulogic_f(xbus_big_endian_c); -- is external memory bus interface using BIG-endian byte-order?
132 44 zero_gravi
  sysinfo_mem(2)(06) <= bool_to_ulogic_f(ICACHE_EN);         -- processor-internal instruction cache implemented?
133 23 zero_gravi
  --
134 41 zero_gravi
  sysinfo_mem(2)(15 downto 07) <= (others => '0'); -- reserved
135 23 zero_gravi
  -- IO --
136 52 zero_gravi
  sysinfo_mem(2)(16) <= bool_to_ulogic_f(IO_GPIO_EN);   -- general purpose input/output port unit (GPIO) implemented?
137
  sysinfo_mem(2)(17) <= bool_to_ulogic_f(IO_MTIME_EN);  -- machine system timer (MTIME) implemented?
138
  sysinfo_mem(2)(18) <= bool_to_ulogic_f(IO_UART0_EN);  -- primary universal asynchronous receiver/transmitter (UART0) implemented?
139
  sysinfo_mem(2)(19) <= bool_to_ulogic_f(IO_SPI_EN);    -- serial peripheral interface (SPI) implemented?
140
  sysinfo_mem(2)(20) <= bool_to_ulogic_f(IO_TWI_EN);    -- two-wire interface (TWI) implemented?
141
  sysinfo_mem(2)(21) <= bool_to_ulogic_f(IO_PWM_EN);    -- pulse-width modulation unit (PWM) implemented?
142
  sysinfo_mem(2)(22) <= bool_to_ulogic_f(IO_WDT_EN);    -- watch dog timer (WDT) implemented?
143
  sysinfo_mem(2)(23) <= bool_to_ulogic_f(IO_CFS_EN);    -- custom functions subsystem (CFS) implemented?
144
  sysinfo_mem(2)(24) <= bool_to_ulogic_f(IO_TRNG_EN);   -- true random number generator (TRNG) implemented?
145
  sysinfo_mem(2)(25) <= bool_to_ulogic_f(IO_NCO_EN);    -- numerically-controlled oscillator (NCO) implemented?
146
  sysinfo_mem(2)(26) <= bool_to_ulogic_f(IO_UART1_EN);  -- secondary universal asynchronous receiver/transmitter (UART1) implemented?
147
  sysinfo_mem(2)(27) <= bool_to_ulogic_f(IO_NEOLED_EN); -- NeoPixel-compatible smart LED interface (NEOLED) implemented?
148 23 zero_gravi
  --
149 52 zero_gravi
  sysinfo_mem(2)(31 downto 28) <= (others => '0'); -- reserved
150 12 zero_gravi
 
151 41 zero_gravi
  -- SYSINFO(3): Cache configuration --
152 45 zero_gravi
  sysinfo_mem(3)(03 downto 00) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_BLOCK_SIZE),    4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(block_size_in_bytes)
153
  sysinfo_mem(3)(07 downto 04) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_NUM_BLOCKS),    4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(number_of_block)
154 44 zero_gravi
  sysinfo_mem(3)(11 downto 08) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_ASSOCIATIVITY), 4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(associativity)
155 45 zero_gravi
  sysinfo_mem(3)(15 downto 12) <= "0001" when (ICACHE_ASSOCIATIVITY > 1) and (ICACHE_EN = true) else (others => '0'); -- i-cache: replacement strategy (LRU only (yet))
156 41 zero_gravi
  --
157 45 zero_gravi
  sysinfo_mem(3)(19 downto 16) <= (others => '0'); -- reserved - d-cache: log2(block_size)
158
  sysinfo_mem(3)(23 downto 20) <= (others => '0'); -- reserved - d-cache: log2(num_blocks)
159
  sysinfo_mem(3)(27 downto 24) <= (others => '0'); -- reserved - d-cache: log2(associativity)
160
  sysinfo_mem(3)(31 downto 28) <= (others => '0'); -- reserved - d-cache: replacement strategy
161 12 zero_gravi
 
162
  -- SYSINFO(4): Base address of instruction memory space --
163 23 zero_gravi
  sysinfo_mem(4) <= ispace_base_c; -- defined in neorv32_package.vhd file
164 12 zero_gravi
 
165
  -- SYSINFO(5): Base address of data memory space --
166 23 zero_gravi
  sysinfo_mem(5) <= dspace_base_c; -- defined in neorv32_package.vhd file
167 12 zero_gravi
 
168 23 zero_gravi
  -- SYSINFO(6): Size of IMEM in bytes --
169 44 zero_gravi
  sysinfo_mem(6) <= std_ulogic_vector(to_unsigned(MEM_INT_IMEM_SIZE, 32)) when (MEM_INT_IMEM_EN = true) else (others => '0');
170 12 zero_gravi
 
171 23 zero_gravi
  -- SYSINFO(7): Size of DMEM in bytes --
172 44 zero_gravi
  sysinfo_mem(7) <= std_ulogic_vector(to_unsigned(MEM_INT_DMEM_SIZE, 32)) when (MEM_INT_DMEM_EN = true) else (others => '0');
173 12 zero_gravi
 
174
 
175
  -- Read Access ----------------------------------------------------------------------------
176
  -- -------------------------------------------------------------------------------------------
177
  read_access: process(clk_i)
178
  begin
179
    if rising_edge(clk_i) then
180 23 zero_gravi
      ack_o  <= rden;
181
      data_o <= (others => '0');
182 12 zero_gravi
      if (rden = '1') then
183
        data_o <= sysinfo_mem(to_integer(unsigned(info_addr)));
184
      end if;
185
    end if;
186
  end process read_access;
187
 
188
 
189
end neorv32_sysinfo_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.