OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_sysinfo.vhd] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - System/Processor Configuration Information Memory (SYSINFO) >>                   #
3
-- # ********************************************************************************************* #
4 47 zero_gravi
-- # This unit provides information regarding the NEORV32 processor system configuration -         #
5 18 zero_gravi
-- # mostly derived from the top's configuration generics.                                         #
6 12 zero_gravi
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
10 12 zero_gravi
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_sysinfo is
46
  generic (
47
    -- General --
48 63 zero_gravi
    CLOCK_FREQUENCY              : natural; -- clock frequency of clk_i in Hz
49
    INT_BOOTLOADER_EN            : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
50
    -- RISC-V CPU Extensions --
51
    CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
52
    CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
53 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
54
    CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
55 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
56
    CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
57
    CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
58
    -- Extension Options --
59
    FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
60
    FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
61
    CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
62
    -- Physical memory protection (PMP) --
63
    PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
64 23 zero_gravi
    -- Internal Instruction memory --
65 63 zero_gravi
    MEM_INT_IMEM_EN              : boolean; -- implement processor-internal instruction memory
66
    MEM_INT_IMEM_SIZE            : natural; -- size of processor-internal instruction memory in bytes
67 23 zero_gravi
    -- Internal Data memory --
68 63 zero_gravi
    MEM_INT_DMEM_EN              : boolean; -- implement processor-internal data memory
69
    MEM_INT_DMEM_SIZE            : natural; -- size of processor-internal data memory in bytes
70 41 zero_gravi
    -- Internal Cache memory --
71 63 zero_gravi
    ICACHE_EN                    : boolean; -- implement instruction cache
72
    ICACHE_NUM_BLOCKS            : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
73
    ICACHE_BLOCK_SIZE            : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
74
    ICACHE_ASSOCIATIVITY         : natural; -- i-cache: associativity (min 1), has to be a power 2
75 23 zero_gravi
    -- External memory interface --
76 63 zero_gravi
    MEM_EXT_EN                   : boolean; -- implement external memory bus interface?
77
    MEM_EXT_BIG_ENDIAN           : boolean; -- byte order: true=big-endian, false=little-endian
78 59 zero_gravi
    -- On-Chip Debugger --
79 63 zero_gravi
    ON_CHIP_DEBUGGER_EN          : boolean; -- implement OCD?
80 12 zero_gravi
    -- Processor peripherals --
81 63 zero_gravi
    IO_GPIO_EN                   : boolean; -- implement general purpose input/output port unit (GPIO)?
82
    IO_MTIME_EN                  : boolean; -- implement machine system timer (MTIME)?
83
    IO_UART0_EN                  : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
84
    IO_UART1_EN                  : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
85
    IO_SPI_EN                    : boolean; -- implement serial peripheral interface (SPI)?
86
    IO_TWI_EN                    : boolean; -- implement two-wire interface (TWI)?
87
    IO_PWM_NUM_CH                : natural; -- number of PWM channels to implement
88
    IO_WDT_EN                    : boolean; -- implement watch dog timer (WDT)?
89
    IO_TRNG_EN                   : boolean; -- implement true random number generator (TRNG)?
90
    IO_CFS_EN                    : boolean; -- implement custom functions subsystem (CFS)?
91
    IO_SLINK_EN                  : boolean; -- implement stream link interface?
92
    IO_NEOLED_EN                 : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
93 67 zero_gravi
    IO_XIRQ_NUM_CH               : natural; -- number of external interrupt (XIRQ) channels to implement
94 70 zero_gravi
    IO_GPTMR_EN                  : boolean; -- implement general purpose timer (GPTMR)?
95
    IO_XIP_EN                    : boolean  -- implement execute in place module (XIP)?
96 12 zero_gravi
  );
97
  port (
98
    -- host access --
99
    clk_i  : in  std_ulogic; -- global clock line
100
    addr_i : in  std_ulogic_vector(31 downto 0); -- address
101
    rden_i : in  std_ulogic; -- read enable
102 70 zero_gravi
    wren_i : in  std_ulogic; -- write enable
103 12 zero_gravi
    data_o : out std_ulogic_vector(31 downto 0); -- data out
104 70 zero_gravi
    ack_o  : out std_ulogic; -- transfer acknowledge
105
    err_o  : out std_ulogic  -- transfer error
106 12 zero_gravi
  );
107
end neorv32_sysinfo;
108
 
109
architecture neorv32_sysinfo_rtl of neorv32_sysinfo is
110
 
111
  -- IO space: module base address --
112
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
113
  constant lo_abb_c : natural := index_size_f(sysinfo_size_c); -- low address boundary bit
114
 
115
  -- access control --
116 70 zero_gravi
  signal acc_en : std_ulogic; -- module access enable
117
  signal rden   : std_ulogic;
118
  signal wren   : std_ulogic;
119
  signal iaddr  : std_ulogic_vector(02 downto 0);
120 12 zero_gravi
 
121
  -- system information ROM --
122
  type info_mem_t is array (0 to 7) of std_ulogic_vector(31 downto 0);
123
  signal sysinfo_mem : info_mem_t;
124
 
125
begin
126
 
127
  -- Access Control -------------------------------------------------------------------------
128
  -- -------------------------------------------------------------------------------------------
129 70 zero_gravi
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = sysinfo_base_c(hi_abb_c downto lo_abb_c)) else '0';
130
  rden   <= acc_en and rden_i; -- read access
131
  wren   <= acc_en and wren_i; -- write access
132
  iaddr  <= addr_i(index_size_f(sysinfo_size_c)-1 downto 2);
133 12 zero_gravi
 
134
 
135
  -- Construct Info ROM ---------------------------------------------------------------------
136
  -- -------------------------------------------------------------------------------------------
137
  -- SYSINFO(0): Processor (primary) clock frequency --
138
  sysinfo_mem(0) <= std_ulogic_vector(to_unsigned(CLOCK_FREQUENCY, 32));
139
 
140 63 zero_gravi
  -- SYSINFO(1): CPU configuration --
141
  sysinfo_mem(1)(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr);    -- Zicsr
142
  sysinfo_mem(1)(01) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- Zifencei
143
  sysinfo_mem(1)(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zmmul);    -- Zmmul
144
  --
145 66 zero_gravi
  sysinfo_mem(1)(04 downto 03) <= (others => '0'); -- reserved
146 63 zero_gravi
  --
147
  sysinfo_mem(1)(05) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx);    -- Zfinx ("F-alternative")
148 66 zero_gravi
  sysinfo_mem(1)(06) <= bool_to_ulogic_f(boolean(CPU_CNT_WIDTH /= 64)); -- reduced-size CPU counters (Zxscnt)
149
  sysinfo_mem(1)(07) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr);   -- base CPU counter
150 63 zero_gravi
  sysinfo_mem(1)(08) <= bool_to_ulogic_f(boolean(PMP_NUM_REGIONS > 0)); -- PMP (physical memory protection)
151 66 zero_gravi
  sysinfo_mem(1)(09) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zihpm);    -- HPM (hardware performance monitors)
152 63 zero_gravi
  sysinfo_mem(1)(10) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG);    -- RISC-V debug mode
153
  --
154
  sysinfo_mem(1)(29 downto 11) <= (others => '0'); -- reserved
155
  -- misc --
156
  sysinfo_mem(1)(30) <= bool_to_ulogic_f(FAST_MUL_EN);                  -- DSP-based multiplication (M extension only)
157
  sysinfo_mem(1)(31) <= bool_to_ulogic_f(FAST_SHIFT_EN);                -- parallel logic for shifts (like barrel shifters)
158 12 zero_gravi
 
159
  -- SYSINFO(2): Implemented processor devices/features --
160 23 zero_gravi
  -- Memory --
161 61 zero_gravi
  sysinfo_mem(2)(00) <= bool_to_ulogic_f(INT_BOOTLOADER_EN); -- processor-internal bootloader implemented?
162 44 zero_gravi
  sysinfo_mem(2)(01) <= bool_to_ulogic_f(MEM_EXT_EN);        -- external memory bus interface implemented?
163 68 zero_gravi
  sysinfo_mem(2)(02) <= bool_to_ulogic_f(MEM_INT_IMEM_EN) and bool_to_ulogic_f(boolean(MEM_INT_IMEM_SIZE > 0)); -- processor-internal instruction memory implemented?
164
  sysinfo_mem(2)(03) <= bool_to_ulogic_f(MEM_INT_DMEM_EN) and bool_to_ulogic_f(boolean(MEM_INT_DMEM_SIZE > 0)); -- processor-internal data memory implemented?
165 62 zero_gravi
  sysinfo_mem(2)(04) <= bool_to_ulogic_f(MEM_EXT_BIG_ENDIAN); -- is external memory bus interface using BIG-endian byte-order?
166 61 zero_gravi
  sysinfo_mem(2)(05) <= bool_to_ulogic_f(ICACHE_EN);         -- processor-internal instruction cache implemented?
167 23 zero_gravi
  --
168 69 zero_gravi
  sysinfo_mem(2)(12 downto 06) <= (others => '0'); -- reserved
169 57 zero_gravi
  -- Misc --
170 69 zero_gravi
  sysinfo_mem(2)(13) <= bool_to_ulogic_f(is_simulation_c);     -- is this a simulation?
171 59 zero_gravi
  sysinfo_mem(2)(14) <= bool_to_ulogic_f(ON_CHIP_DEBUGGER_EN); -- on-chip debugger implemented?
172
  sysinfo_mem(2)(15) <= bool_to_ulogic_f(dedicated_reset_c);   -- dedicated hardware reset of all core registers?
173 23 zero_gravi
  -- IO --
174 52 zero_gravi
  sysinfo_mem(2)(16) <= bool_to_ulogic_f(IO_GPIO_EN);   -- general purpose input/output port unit (GPIO) implemented?
175
  sysinfo_mem(2)(17) <= bool_to_ulogic_f(IO_MTIME_EN);  -- machine system timer (MTIME) implemented?
176
  sysinfo_mem(2)(18) <= bool_to_ulogic_f(IO_UART0_EN);  -- primary universal asynchronous receiver/transmitter (UART0) implemented?
177
  sysinfo_mem(2)(19) <= bool_to_ulogic_f(IO_SPI_EN);    -- serial peripheral interface (SPI) implemented?
178
  sysinfo_mem(2)(20) <= bool_to_ulogic_f(IO_TWI_EN);    -- two-wire interface (TWI) implemented?
179 60 zero_gravi
  sysinfo_mem(2)(21) <= bool_to_ulogic_f(boolean(IO_PWM_NUM_CH > 0)); -- pulse-width modulation unit (PWM) implemented?
180 52 zero_gravi
  sysinfo_mem(2)(22) <= bool_to_ulogic_f(IO_WDT_EN);    -- watch dog timer (WDT) implemented?
181
  sysinfo_mem(2)(23) <= bool_to_ulogic_f(IO_CFS_EN);    -- custom functions subsystem (CFS) implemented?
182
  sysinfo_mem(2)(24) <= bool_to_ulogic_f(IO_TRNG_EN);   -- true random number generator (TRNG) implemented?
183 61 zero_gravi
  sysinfo_mem(2)(25) <= bool_to_ulogic_f(IO_SLINK_EN);  -- stream links (SLINK) implemented?
184 52 zero_gravi
  sysinfo_mem(2)(26) <= bool_to_ulogic_f(IO_UART1_EN);  -- secondary universal asynchronous receiver/transmitter (UART1) implemented?
185
  sysinfo_mem(2)(27) <= bool_to_ulogic_f(IO_NEOLED_EN); -- NeoPixel-compatible smart LED interface (NEOLED) implemented?
186 61 zero_gravi
  sysinfo_mem(2)(28) <= bool_to_ulogic_f(boolean(IO_XIRQ_NUM_CH > 0)); -- external interrupt controller (XIRQ) implemented?
187 67 zero_gravi
  sysinfo_mem(2)(29) <= bool_to_ulogic_f(IO_GPTMR_EN);  -- general purpose timer (GPTMR) implemented?
188 70 zero_gravi
  sysinfo_mem(2)(30) <= bool_to_ulogic_f(IO_XIP_EN);    -- execute in place module (XIP) implemented?
189 23 zero_gravi
  --
190 70 zero_gravi
  sysinfo_mem(2)(31) <= '0'; -- reserved
191 12 zero_gravi
 
192 41 zero_gravi
  -- SYSINFO(3): Cache configuration --
193 45 zero_gravi
  sysinfo_mem(3)(03 downto 00) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_BLOCK_SIZE),    4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(block_size_in_bytes)
194
  sysinfo_mem(3)(07 downto 04) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_NUM_BLOCKS),    4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(number_of_block)
195 44 zero_gravi
  sysinfo_mem(3)(11 downto 08) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_ASSOCIATIVITY), 4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(associativity)
196 45 zero_gravi
  sysinfo_mem(3)(15 downto 12) <= "0001" when (ICACHE_ASSOCIATIVITY > 1) and (ICACHE_EN = true) else (others => '0'); -- i-cache: replacement strategy (LRU only (yet))
197 41 zero_gravi
  --
198 45 zero_gravi
  sysinfo_mem(3)(19 downto 16) <= (others => '0'); -- reserved - d-cache: log2(block_size)
199
  sysinfo_mem(3)(23 downto 20) <= (others => '0'); -- reserved - d-cache: log2(num_blocks)
200
  sysinfo_mem(3)(27 downto 24) <= (others => '0'); -- reserved - d-cache: log2(associativity)
201
  sysinfo_mem(3)(31 downto 28) <= (others => '0'); -- reserved - d-cache: replacement strategy
202 12 zero_gravi
 
203
  -- SYSINFO(4): Base address of instruction memory space --
204 23 zero_gravi
  sysinfo_mem(4) <= ispace_base_c; -- defined in neorv32_package.vhd file
205 12 zero_gravi
 
206
  -- SYSINFO(5): Base address of data memory space --
207 23 zero_gravi
  sysinfo_mem(5) <= dspace_base_c; -- defined in neorv32_package.vhd file
208 12 zero_gravi
 
209 23 zero_gravi
  -- SYSINFO(6): Size of IMEM in bytes --
210 44 zero_gravi
  sysinfo_mem(6) <= std_ulogic_vector(to_unsigned(MEM_INT_IMEM_SIZE, 32)) when (MEM_INT_IMEM_EN = true) else (others => '0');
211 12 zero_gravi
 
212 23 zero_gravi
  -- SYSINFO(7): Size of DMEM in bytes --
213 44 zero_gravi
  sysinfo_mem(7) <= std_ulogic_vector(to_unsigned(MEM_INT_DMEM_SIZE, 32)) when (MEM_INT_DMEM_EN = true) else (others => '0');
214 12 zero_gravi
 
215
 
216
  -- Read Access ----------------------------------------------------------------------------
217
  -- -------------------------------------------------------------------------------------------
218
  read_access: process(clk_i)
219
  begin
220
    if rising_edge(clk_i) then
221 23 zero_gravi
      ack_o  <= rden;
222 70 zero_gravi
      err_o  <= wren;
223 23 zero_gravi
      data_o <= (others => '0');
224 12 zero_gravi
      if (rden = '1') then
225 70 zero_gravi
        data_o <= sysinfo_mem(to_integer(unsigned(iaddr)));
226 12 zero_gravi
      end if;
227
    end if;
228
  end process read_access;
229
 
230
 
231
end neorv32_sysinfo_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.