OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 34

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 23 zero_gravi
-- # Check the processor's data sheet for more information: docs/NEORV32.pdf                       #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
13
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 2 zero_gravi
    -- RISC-V CPU Extensions --
55 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
56 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
57 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
58 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
59 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
60
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
61 19 zero_gravi
    -- Extension Options --
62 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
63 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
64 15 zero_gravi
    -- Physical Memory Protection (PMP) --
65 23 zero_gravi
    PMP_USE                      : boolean := false;  -- implement PMP?
66
    PMP_NUM_REGIONS              : natural := 4;      -- number of regions (max 8)
67
    PMP_GRANULARITY              : natural := 14;     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
68
    -- Internal Instruction memory --
69 8 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
70
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
71
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
72 23 zero_gravi
    -- Internal Data memory --
73 8 zero_gravi
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
74
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
75 23 zero_gravi
    -- External memory interface --
76 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
77
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
78 2 zero_gravi
    -- Processor peripherals --
79 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
80
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
81
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
82
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
83
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
84
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
85
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
86
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
87 34 zero_gravi
    IO_CFU0_USE                  : boolean := false;  -- implement custom functions unit 0 (CFU0)?
88
    IO_CFU1_USE                  : boolean := false   -- implement custom functions unit 1 (CFU1)?
89 2 zero_gravi
  );
90
  port (
91
    -- Global control --
92 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
93
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
94 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
95 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
96
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
97
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
98
    wb_we_o     : out std_ulogic; -- read/write
99
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
100
    wb_stb_o    : out std_ulogic; -- strobe
101
    wb_cyc_o    : out std_ulogic; -- valid cycle
102
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
103
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
104 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
105 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
106
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
107 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
108 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
109
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
110 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
111 34 zero_gravi
    uart_txd_o  : out std_ulogic; -- UART send data
112
    uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
113 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
114 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
115
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
116
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
117
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
118 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
119 34 zero_gravi
    twi_sda_io  : inout std_logic := 'H'; -- twi serial data line
120
    twi_scl_io  : inout std_logic := 'H'; -- twi serial clock line
121 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
122 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
123 14 zero_gravi
    -- Interrupts --
124 34 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_USE = false
125
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
126
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
127 2 zero_gravi
  );
128
end neorv32_top;
129
 
130
architecture neorv32_top_rtl of neorv32_top is
131
 
132 12 zero_gravi
  -- CPU boot address --
133 23 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_USE, boot_rom_base_c, ispace_base_c);
134 12 zero_gravi
 
135 29 zero_gravi
  -- alignment check for internal memories --
136
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
137
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
138
 
139 2 zero_gravi
  -- reset generator --
140
  signal rstn_i_sync0 : std_ulogic;
141
  signal rstn_i_sync1 : std_ulogic;
142
  signal rstn_i_sync2 : std_ulogic;
143
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
144
  signal ext_rstn     : std_ulogic;
145
  signal sys_rstn     : std_ulogic;
146
  signal wdt_rstn     : std_ulogic;
147
 
148
  -- clock generator --
149
  signal clk_div    : std_ulogic_vector(11 downto 0);
150
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
151
  signal clk_gen    : std_ulogic_vector(07 downto 0);
152
  signal wdt_cg_en  : std_ulogic;
153
  signal uart_cg_en : std_ulogic;
154
  signal spi_cg_en  : std_ulogic;
155
  signal twi_cg_en  : std_ulogic;
156
  signal pwm_cg_en  : std_ulogic;
157 34 zero_gravi
  signal cfu0_cg_en : std_ulogic;
158
  signal cfu1_cg_en : std_ulogic;
159 2 zero_gravi
 
160 12 zero_gravi
  -- bus interface --
161
  type bus_interface_t is record
162 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
163
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
164
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
165
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
166
    we     : std_ulogic; -- write enable
167
    re     : std_ulogic; -- read enable
168
    cancel : std_ulogic; -- cancel current transfer
169
    ack    : std_ulogic; -- bus transfer acknowledge
170
    err    : std_ulogic; -- bus transfer error
171 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
172 11 zero_gravi
  end record;
173 12 zero_gravi
  signal cpu_i, cpu_d, p_bus : bus_interface_t;
174 2 zero_gravi
 
175
  -- io space access --
176
  signal io_acc  : std_ulogic;
177
  signal io_rden : std_ulogic;
178
  signal io_wren : std_ulogic;
179
 
180
  -- read-back busses -
181
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
182
  signal imem_ack       : std_ulogic;
183
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
184
  signal dmem_ack       : std_ulogic;
185
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
186
  signal bootrom_ack    : std_ulogic;
187
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
188
  signal wishbone_ack   : std_ulogic;
189
  signal wishbone_err   : std_ulogic;
190
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
191
  signal gpio_ack       : std_ulogic;
192
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
193
  signal mtime_ack      : std_ulogic;
194
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
195
  signal uart_ack       : std_ulogic;
196
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
197
  signal spi_ack        : std_ulogic;
198
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
199
  signal twi_ack        : std_ulogic;
200
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
201
  signal pwm_ack        : std_ulogic;
202
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
203
  signal wdt_ack        : std_ulogic;
204
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
205
  signal trng_ack       : std_ulogic;
206 34 zero_gravi
  signal cfu0_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
207
  signal cfu0_ack       : std_ulogic;
208
  signal cfu1_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
209
  signal cfu1_ack       : std_ulogic;
210 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
211
  signal sysinfo_ack    : std_ulogic;
212 2 zero_gravi
 
213
  -- IRQs --
214
  signal mtime_irq : std_ulogic;
215 14 zero_gravi
  signal fast_irq  : std_ulogic_vector(3 downto 0);
216 2 zero_gravi
  signal gpio_irq  : std_ulogic;
217
  signal wdt_irq   : std_ulogic;
218
  signal uart_irq  : std_ulogic;
219
  signal spi_irq   : std_ulogic;
220
  signal twi_irq   : std_ulogic;
221
 
222 11 zero_gravi
  -- misc --
223
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
224
 
225 2 zero_gravi
begin
226
 
227
  -- Sanity Checks --------------------------------------------------------------------------
228
  -- -------------------------------------------------------------------------------------------
229 23 zero_gravi
  -- internal bootloader ROM --
230
  assert not ((BOOTLOADER_USE = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
231
  assert not ((BOOTLOADER_USE = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
232
  -- memory system - data/instruction fetch --
233
  assert not ((MEM_EXT_USE = false) and (MEM_INT_DMEM_USE = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
234
  assert not ((MEM_EXT_USE = false) and (MEM_INT_IMEM_USE = false) and (BOOTLOADER_USE = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
235 29 zero_gravi
  -- memory system - alignment --
236
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
237
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
238
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_USE = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
239
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_USE = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
240 23 zero_gravi
  -- clock --
241
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
242 33 zero_gravi
  -- memory layout warning --
243 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
244
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
245 33 zero_gravi
  -- memory latency notifier (warning) --
246 32 zero_gravi
  assert not (MEM_EXT_USE = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface with max latency = " & integer'image(bus_timeout_c) & " cycles." severity warning;
247 33 zero_gravi
  -- external memory iterface protocol notifier (warning) --
248
  assert not ((MEM_EXT_USE = true) and (wb_pipe_mode_c = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using STANDARD Wishbone protocol." severity warning;
249
  assert not ((MEM_EXT_USE = true) and (wb_pipe_mode_c =  true)) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using PIEPLINED Wishbone protocol." severity warning;
250 2 zero_gravi
 
251
 
252
  -- Reset Generator ------------------------------------------------------------------------
253
  -- -------------------------------------------------------------------------------------------
254
  reset_generator_sync: process(clk_i)
255
  begin
256
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
257
    if rising_edge(clk_i) then
258
      rstn_i_sync0 <= rstn_i;
259
      rstn_i_sync1 <= rstn_i_sync0;
260
      rstn_i_sync2 <= rstn_i_sync1;
261
    end if;
262
  end process reset_generator_sync;
263
 
264
  -- keep internal reset active for at least 4 clock cycles
265
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
266
  begin
267 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
268 2 zero_gravi
      rstn_gen <= (others => '0');
269
    elsif rising_edge(clk_i) then
270
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
271
    end if;
272
  end process reset_generator;
273
 
274
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
275 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
276 2 zero_gravi
 
277
 
278
  -- Clock Generator ------------------------------------------------------------------------
279
  -- -------------------------------------------------------------------------------------------
280
  clock_generator: process(sys_rstn, clk_i)
281
  begin
282
    if (sys_rstn = '0') then
283
      clk_div    <= (others => '0');
284
      clk_div_ff <= (others => '0');
285
    elsif rising_edge(clk_i) then
286 23 zero_gravi
      -- fresh clocks anyone? --
287 34 zero_gravi
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en or cfu0_cg_en or cfu1_cg_en) = '1') then
288 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
289 2 zero_gravi
      end if;
290 23 zero_gravi
      clk_div_ff <= clk_div;
291 2 zero_gravi
    end if;
292
  end process clock_generator;
293
 
294 23 zero_gravi
  -- clock enables: rising edge detectors --
295
  clock_generator_edge: process(clk_i)
296
  begin
297
    if rising_edge(clk_i) then
298
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
299
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
300
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
301
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
302
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
303
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
304
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
305
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
306
    end if;
307
  end process clock_generator_edge;
308 2 zero_gravi
 
309
 
310
  -- CPU ------------------------------------------------------------------------------------
311
  -- -------------------------------------------------------------------------------------------
312
  neorv32_cpu_inst: neorv32_cpu
313
  generic map (
314
    -- General --
315 19 zero_gravi
    HW_THREAD_ID                 => (others => '0'), -- hardware thread id
316 25 zero_gravi
    CPU_BOOT_ADDR                => cpu_boot_addr_c, -- cpu boot address
317 2 zero_gravi
    -- RISC-V CPU Extensions --
318 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
319
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
320
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
321 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
322 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
323
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
324 19 zero_gravi
    -- Extension Options --
325 25 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,     -- use DSPs for M extension's multiplier
326 34 zero_gravi
    FAST_SHIFT_EN                => FAST_SHIFT_EN,   -- use barrel shifter for shift operations
327 15 zero_gravi
    -- Physical Memory Protection (PMP) --
328
    PMP_USE                      => PMP_USE,         -- implement PMP?
329 16 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS, -- number of regions (max 8)
330 30 zero_gravi
    PMP_GRANULARITY              => PMP_GRANULARITY  -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
331 2 zero_gravi
  )
332
  port map (
333
    -- global control --
334 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
335
    rstn_i         => sys_rstn,     -- global reset, low-active, async
336
    -- instruction bus interface --
337
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
338
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
339
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
340
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
341
    i_bus_we_o     => cpu_i.we,     -- write enable
342
    i_bus_re_o     => cpu_i.re,     -- read enable
343
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
344
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
345
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
346
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
347
    -- data bus interface --
348
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
349
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
350
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
351
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
352
    d_bus_we_o     => cpu_d.we,     -- write enable
353
    d_bus_re_o     => cpu_d.re,     -- read enable
354
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
355
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
356
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
357
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
358 11 zero_gravi
    -- system time input from MTIME --
359 12 zero_gravi
    time_i         => mtime_time,   -- current system time
360 14 zero_gravi
    -- interrupts (risc-v compliant) --
361
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
362
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
363
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
364
    -- fast interrupts (custom) --
365
    firq_i         => fast_irq
366 2 zero_gravi
  );
367
 
368 14 zero_gravi
  -- advanced memory control --
369
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
370
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
371 2 zero_gravi
 
372 14 zero_gravi
  -- fast interrupts --
373 34 zero_gravi
  fast_irq(0) <= wdt_irq;            -- highest priority, watchdog timeout interrupt
374
  fast_irq(1) <= gpio_irq;           -- GPIO input pin-change interrupt
375
  fast_irq(2) <= uart_irq;           -- UART TX done or RX complete interrupt
376 14 zero_gravi
  fast_irq(3) <= spi_irq or twi_irq; -- lowest priority, can be triggered by SPI or TWI
377
 
378
 
379 12 zero_gravi
  -- CPU Crossbar Switch --------------------------------------------------------------------
380
  -- -------------------------------------------------------------------------------------------
381
  neorv32_busswitch_inst: neorv32_busswitch
382
  generic map (
383
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
384
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
385
  )
386
  port map (
387
    -- global control --
388
    clk_i           => clk_i,        -- global clock, rising edge
389
    rstn_i          => sys_rstn,     -- global reset, low-active, async
390
    -- controller interface a --
391
    ca_bus_addr_i   => cpu_d.addr,   -- bus access address
392
    ca_bus_rdata_o  => cpu_d.rdata,  -- bus read data
393
    ca_bus_wdata_i  => cpu_d.wdata,  -- bus write data
394
    ca_bus_ben_i    => cpu_d.ben,    -- byte enable
395
    ca_bus_we_i     => cpu_d.we,     -- write enable
396
    ca_bus_re_i     => cpu_d.re,     -- read enable
397
    ca_bus_cancel_i => cpu_d.cancel, -- cancel current bus transaction
398
    ca_bus_ack_o    => cpu_d.ack,    -- bus transfer acknowledge
399
    ca_bus_err_o    => cpu_d.err,    -- bus transfer error
400
    -- controller interface b --
401
    cb_bus_addr_i   => cpu_i.addr,   -- bus access address
402
    cb_bus_rdata_o  => cpu_i.rdata,  -- bus read data
403
    cb_bus_wdata_i  => cpu_i.wdata,  -- bus write data
404
    cb_bus_ben_i    => cpu_i.ben,    -- byte enable
405
    cb_bus_we_i     => cpu_i.we,     -- write enable
406
    cb_bus_re_i     => cpu_i.re,     -- read enable
407
    cb_bus_cancel_i => cpu_i.cancel, -- cancel current bus transaction
408
    cb_bus_ack_o    => cpu_i.ack,    -- bus transfer acknowledge
409
    cb_bus_err_o    => cpu_i.err,    -- bus transfer error
410
    -- peripheral bus --
411
    p_bus_addr_o    => p_bus.addr,   -- bus access address
412
    p_bus_rdata_i   => p_bus.rdata,  -- bus read data
413
    p_bus_wdata_o   => p_bus.wdata,  -- bus write data
414
    p_bus_ben_o     => p_bus.ben,    -- byte enable
415
    p_bus_we_o      => p_bus.we,     -- write enable
416
    p_bus_re_o      => p_bus.re,     -- read enable
417
    p_bus_cancel_o  => p_bus.cancel, -- cancel current bus transaction
418
    p_bus_ack_i     => p_bus.ack,    -- bus transfer acknowledge
419
    p_bus_err_i     => p_bus.err     -- bus transfer error
420
  );
421 2 zero_gravi
 
422 14 zero_gravi
  -- processor bus: CPU data input --
423 12 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart_rdata or
424 34 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfu0_rdata or cfu1_rdata or sysinfo_rdata);
425 2 zero_gravi
 
426 14 zero_gravi
  -- processor bus: CPU data ACK input --
427 12 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart_ack or
428 34 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfu0_ack or cfu1_ack or sysinfo_ack);
429 12 zero_gravi
 
430 14 zero_gravi
  -- processor bus: CPU data bus error input --
431 12 zero_gravi
  p_bus.err <= wishbone_err;
432
 
433
 
434 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
435
  -- -------------------------------------------------------------------------------------------
436
  neorv32_int_imem_inst_true:
437
  if (MEM_INT_IMEM_USE = true) generate
438
    neorv32_int_imem_inst: neorv32_imem
439
    generic map (
440 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
441 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
442
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
443
      BOOTLOADER_USE => BOOTLOADER_USE     -- implement and use bootloader?
444
    )
445
    port map (
446 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
447
      rden_i => p_bus.re,    -- read enable
448
      wren_i => p_bus.we,    -- write enable
449
      ben_i  => p_bus.ben,   -- byte write enable
450
      upen_i => '1',         -- update enable
451
      addr_i => p_bus.addr,  -- address
452
      data_i => p_bus.wdata, -- data in
453
      data_o => imem_rdata,  -- data out
454
      ack_o  => imem_ack     -- transfer acknowledge
455 2 zero_gravi
    );
456
  end generate;
457
 
458
  neorv32_int_imem_inst_false:
459
  if (MEM_INT_IMEM_USE = false) generate
460
    imem_rdata <= (others => '0');
461
    imem_ack   <= '0';
462
  end generate;
463
 
464
 
465
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
466
  -- -------------------------------------------------------------------------------------------
467
  neorv32_int_dmem_inst_true:
468
  if (MEM_INT_DMEM_USE = true) generate
469
    neorv32_int_dmem_inst: neorv32_dmem
470
    generic map (
471 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
472 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
473
    )
474
    port map (
475 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
476
      rden_i => p_bus.re,    -- read enable
477
      wren_i => p_bus.we,    -- write enable
478
      ben_i  => p_bus.ben,   -- byte write enable
479
      addr_i => p_bus.addr,  -- address
480
      data_i => p_bus.wdata, -- data in
481
      data_o => dmem_rdata,  -- data out
482
      ack_o  => dmem_ack     -- transfer acknowledge
483 2 zero_gravi
    );
484
  end generate;
485
 
486
  neorv32_int_dmem_inst_false:
487
  if (MEM_INT_DMEM_USE = false) generate
488
    dmem_rdata <= (others => '0');
489
    dmem_ack   <= '0';
490
  end generate;
491
 
492
 
493
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
494
  -- -------------------------------------------------------------------------------------------
495
  neorv32_boot_rom_inst_true:
496
  if (BOOTLOADER_USE = true) generate
497
    neorv32_boot_rom_inst: neorv32_boot_rom
498 23 zero_gravi
    generic map (
499
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
500
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
501
    )
502 2 zero_gravi
    port map (
503
      clk_i  => clk_i,         -- global clock line
504 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
505
      addr_i => p_bus.addr,    -- address
506 2 zero_gravi
      data_o => bootrom_rdata, -- data out
507
      ack_o  => bootrom_ack    -- transfer acknowledge
508
    );
509
  end generate;
510
 
511
  neorv32_boot_rom_inst_false:
512
  if (BOOTLOADER_USE = false) generate
513
    bootrom_rdata <= (others => '0');
514
    bootrom_ack   <= '0';
515
  end generate;
516
 
517
 
518
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
519
  -- -------------------------------------------------------------------------------------------
520
  neorv32_wishbone_inst_true:
521
  if (MEM_EXT_USE = true) generate
522
    neorv32_wishbone_inst: neorv32_wishbone
523
    generic map (
524
      INTERFACE_REG_STAGES => MEM_EXT_REG_STAGES, -- number of interface register stages (0,1,2)
525 31 zero_gravi
      WB_PIPELINED_MODE    => wb_pipe_mode_c,     -- false: classic/standard wishbone mode, true: pipelined wishbone mode
526 23 zero_gravi
      -- Internal instruction memory --
527 12 zero_gravi
      MEM_INT_IMEM_USE     => MEM_INT_IMEM_USE,   -- implement processor-internal instruction memory
528
      MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
529 23 zero_gravi
      -- Internal data memory --
530 12 zero_gravi
      MEM_INT_DMEM_USE     => MEM_INT_DMEM_USE,   -- implement processor-internal data memory
531
      MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE   -- size of processor-internal data memory in bytes
532 2 zero_gravi
    )
533
    port map (
534
      -- global control --
535
      clk_i    => clk_i,          -- global clock line
536
      rstn_i   => sys_rstn,       -- global reset line, low-active
537
      -- host access --
538 12 zero_gravi
      addr_i   => p_bus.addr,     -- address
539
      rden_i   => p_bus.re,       -- read enable
540
      wren_i   => p_bus.we,       -- write enable
541
      ben_i    => p_bus.ben,      -- byte write enable
542
      data_i   => p_bus.wdata,    -- data in
543 2 zero_gravi
      data_o   => wishbone_rdata, -- data out
544 12 zero_gravi
      cancel_i => p_bus.cancel,   -- cancel current transaction
545 2 zero_gravi
      ack_o    => wishbone_ack,   -- transfer acknowledge
546
      err_o    => wishbone_err,   -- transfer error
547
      -- wishbone interface --
548
      wb_adr_o => wb_adr_o,       -- address
549
      wb_dat_i => wb_dat_i,       -- read data
550
      wb_dat_o => wb_dat_o,       -- write data
551
      wb_we_o  => wb_we_o,        -- read/write
552
      wb_sel_o => wb_sel_o,       -- byte enable
553
      wb_stb_o => wb_stb_o,       -- strobe
554
      wb_cyc_o => wb_cyc_o,       -- valid cycle
555
      wb_ack_i => wb_ack_i,       -- transfer acknowledge
556
      wb_err_i => wb_err_i        -- transfer error
557
    );
558
  end generate;
559
 
560
  neorv32_wishbone_inst_false:
561
  if (MEM_EXT_USE = false) generate
562
    wishbone_rdata <= (others => '0');
563
    wishbone_ack   <= '0';
564
    wishbone_err   <= '0';
565
    --
566
    wb_adr_o <= (others => '0');
567
    wb_dat_o <= (others => '0');
568
    wb_we_o  <= '0';
569
    wb_sel_o <= (others => '0');
570
    wb_stb_o <= '0';
571
    wb_cyc_o <= '0';
572
  end generate;
573
 
574
 
575
  -- IO Access? -----------------------------------------------------------------------------
576
  -- -------------------------------------------------------------------------------------------
577 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
578
  io_rden <= io_acc and p_bus.re;
579 22 zero_gravi
  -- the peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
580
  io_wren <= io_acc and p_bus.we and p_bus.ben(3) and p_bus.ben(2) and p_bus.ben(1) and p_bus.ben(0);
581 2 zero_gravi
 
582
 
583
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
584
  -- -------------------------------------------------------------------------------------------
585
  neorv32_gpio_inst_true:
586
  if (IO_GPIO_USE = true) generate
587
    neorv32_gpio_inst: neorv32_gpio
588
    port map (
589
      -- host access --
590 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
591
      addr_i => p_bus.addr,  -- address
592
      rden_i => io_rden,     -- read enable
593
      wren_i => io_wren,     -- write enable
594
      data_i => p_bus.wdata, -- data in
595
      data_o => gpio_rdata,  -- data out
596
      ack_o  => gpio_ack,    -- transfer acknowledge
597 2 zero_gravi
      -- parallel io --
598
      gpio_o => gpio_o,
599
      gpio_i => gpio_i,
600
      -- interrupt --
601 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
602 2 zero_gravi
    );
603
  end generate;
604
 
605
  neorv32_gpio_inst_false:
606
  if (IO_GPIO_USE = false) generate
607
    gpio_rdata <= (others => '0');
608
    gpio_ack   <= '0';
609
    gpio_o     <= (others => '0');
610
    gpio_irq   <= '0';
611
  end generate;
612
 
613
 
614
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
615
  -- -------------------------------------------------------------------------------------------
616
  neorv32_wdt_inst_true:
617
  if (IO_WDT_USE = true) generate
618
    neorv32_wdt_inst: neorv32_wdt
619
    port map (
620
      -- host access --
621 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
622
      rstn_i      => ext_rstn,    -- global reset line, low-active
623
      rden_i      => io_rden,     -- read enable
624
      wren_i      => io_wren,     -- write enable
625
      addr_i      => p_bus.addr,  -- address
626
      data_i      => p_bus.wdata, -- data in
627
      data_o      => wdt_rdata,   -- data out
628
      ack_o       => wdt_ack,     -- transfer acknowledge
629 2 zero_gravi
      -- clock generator --
630 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
631 2 zero_gravi
      clkgen_i    => clk_gen,
632
      -- timeout event --
633 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
634
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
635 2 zero_gravi
    );
636
  end generate;
637
 
638
  neorv32_wdt_inst_false:
639
  if (IO_WDT_USE = false) generate
640
    wdt_rdata <= (others => '0');
641
    wdt_ack   <= '0';
642
    wdt_irq   <= '0';
643
    wdt_rstn  <= '1';
644
    wdt_cg_en <= '0';
645
  end generate;
646
 
647
 
648
  -- Machine System Timer (MTIME) -----------------------------------------------------------
649
  -- -------------------------------------------------------------------------------------------
650
  neorv32_mtime_inst_true:
651
  if (IO_MTIME_USE = true) generate
652
    neorv32_mtime_inst: neorv32_mtime
653
    port map (
654
      -- host access --
655 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
656
      rstn_i    => sys_rstn,    -- global reset, low-active, async
657
      addr_i    => p_bus.addr,  -- address
658
      rden_i    => io_rden,     -- read enable
659
      wren_i    => io_wren,     -- write enable
660
      data_i    => p_bus.wdata, -- data in
661
      data_o    => mtime_rdata, -- data out
662
      ack_o     => mtime_ack,   -- transfer acknowledge
663 11 zero_gravi
      -- time output for CPU --
664 12 zero_gravi
      time_o    => mtime_time,  -- current system time
665 2 zero_gravi
      -- interrupt --
666 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
667 2 zero_gravi
    );
668
  end generate;
669
 
670
  neorv32_mtime_inst_false:
671
  if (IO_MTIME_USE = false) generate
672
    mtime_rdata <= (others => '0');
673 11 zero_gravi
    mtime_time  <= (others => '0');
674 2 zero_gravi
    mtime_ack   <= '0';
675 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
676 2 zero_gravi
  end generate;
677
 
678
 
679
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
680
  -- -------------------------------------------------------------------------------------------
681
  neorv32_uart_inst_true:
682
  if (IO_UART_USE = true) generate
683
    neorv32_uart_inst: neorv32_uart
684
    port map (
685
      -- host access --
686 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
687
      addr_i      => p_bus.addr,  -- address
688
      rden_i      => io_rden,     -- read enable
689
      wren_i      => io_wren,     -- write enable
690
      data_i      => p_bus.wdata, -- data in
691
      data_o      => uart_rdata,  -- data out
692
      ack_o       => uart_ack,    -- transfer acknowledge
693 2 zero_gravi
      -- clock generator --
694 12 zero_gravi
      clkgen_en_o => uart_cg_en,  -- enable clock generator
695 2 zero_gravi
      clkgen_i    => clk_gen,
696
      -- com lines --
697
      uart_txd_o  => uart_txd_o,
698
      uart_rxd_i  => uart_rxd_i,
699
      -- interrupts --
700 12 zero_gravi
      uart_irq_o  => uart_irq     -- uart rx/tx interrupt
701 2 zero_gravi
    );
702
  end generate;
703
 
704
  neorv32_uart_inst_false:
705
  if (IO_UART_USE = false) generate
706
    uart_rdata <= (others => '0');
707
    uart_ack   <= '0';
708
    uart_txd_o <= '0';
709
    uart_cg_en <= '0';
710
    uart_irq   <= '0';
711
  end generate;
712
 
713
 
714
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
715
  -- -------------------------------------------------------------------------------------------
716
  neorv32_spi_inst_true:
717
  if (IO_SPI_USE = true) generate
718
    neorv32_spi_inst: neorv32_spi
719
    port map (
720
      -- host access --
721 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
722
      addr_i      => p_bus.addr,  -- address
723
      rden_i      => io_rden,     -- read enable
724
      wren_i      => io_wren,     -- write enable
725
      data_i      => p_bus.wdata, -- data in
726
      data_o      => spi_rdata,   -- data out
727
      ack_o       => spi_ack,     -- transfer acknowledge
728 2 zero_gravi
      -- clock generator --
729 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
730 2 zero_gravi
      clkgen_i    => clk_gen,
731
      -- com lines --
732 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
733
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
734
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
735
      spi_csn_o   => spi_csn_o,   -- SPI CS
736 2 zero_gravi
      -- interrupt --
737 12 zero_gravi
      spi_irq_o   => spi_irq      -- transmission done interrupt
738 2 zero_gravi
    );
739
  end generate;
740
 
741
  neorv32_spi_inst_false:
742
  if (IO_SPI_USE = false) generate
743
    spi_rdata  <= (others => '0');
744
    spi_ack    <= '0';
745 6 zero_gravi
    spi_sck_o  <= '0';
746
    spi_sdo_o  <= '0';
747 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
748
    spi_cg_en  <= '0';
749
    spi_irq    <= '0';
750
  end generate;
751
 
752
 
753
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
754
  -- -------------------------------------------------------------------------------------------
755
  neorv32_twi_inst_true:
756
  if (IO_TWI_USE = true) generate
757
    neorv32_twi_inst: neorv32_twi
758
    port map (
759
      -- host access --
760 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
761
      addr_i      => p_bus.addr,  -- address
762
      rden_i      => io_rden,     -- read enable
763
      wren_i      => io_wren,     -- write enable
764
      data_i      => p_bus.wdata, -- data in
765
      data_o      => twi_rdata,   -- data out
766
      ack_o       => twi_ack,     -- transfer acknowledge
767 2 zero_gravi
      -- clock generator --
768 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
769 2 zero_gravi
      clkgen_i    => clk_gen,
770
      -- com lines --
771 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
772
      twi_scl_io  => twi_scl_io,  -- serial clock line
773 2 zero_gravi
      -- interrupt --
774 12 zero_gravi
      twi_irq_o   => twi_irq      -- transfer done IRQ
775 2 zero_gravi
    );
776
  end generate;
777
 
778
  neorv32_twi_inst_false:
779
  if (IO_TWI_USE = false) generate
780
    twi_rdata  <= (others => '0');
781
    twi_ack    <= '0';
782
--  twi_sda_io <= 'H';
783
--  twi_scl_io <= 'H';
784
    twi_cg_en  <= '0';
785
    twi_irq    <= '0';
786
  end generate;
787
 
788
 
789
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
790
  -- -------------------------------------------------------------------------------------------
791
  neorv32_pwm_inst_true:
792
  if (IO_PWM_USE = true) generate
793
    neorv32_pwm_inst: neorv32_pwm
794
    port map (
795
      -- host access --
796 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
797
      addr_i      => p_bus.addr,  -- address
798
      rden_i      => io_rden,     -- read enable
799
      wren_i      => io_wren,     -- write enable
800
      data_i      => p_bus.wdata, -- data in
801
      data_o      => pwm_rdata,   -- data out
802
      ack_o       => pwm_ack,     -- transfer acknowledge
803 2 zero_gravi
      -- clock generator --
804 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
805 2 zero_gravi
      clkgen_i    => clk_gen,
806
      -- pwm output channels --
807
      pwm_o       => pwm_o
808
    );
809
  end generate;
810
 
811
  neorv32_pwm_inst_false:
812
  if (IO_PWM_USE = false) generate
813
    pwm_rdata <= (others => '0');
814
    pwm_ack   <= '0';
815
    pwm_cg_en <= '0';
816
    pwm_o     <= (others => '0');
817
  end generate;
818
 
819
 
820
  -- True Random Number Generator (TRNG) ----------------------------------------------------
821
  -- -------------------------------------------------------------------------------------------
822
  neorv32_trng_inst_true:
823
  if (IO_TRNG_USE = true) generate
824
    neorv32_trng_inst: neorv32_trng
825
    port map (
826
      -- host access --
827 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
828
      addr_i => p_bus.addr,  -- address
829
      rden_i => io_rden,     -- read enable
830
      wren_i => io_wren,     -- write enable
831
      data_i => p_bus.wdata, -- data in
832
      data_o => trng_rdata,  -- data out
833
      ack_o  => trng_ack     -- transfer acknowledge
834 2 zero_gravi
    );
835
  end generate;
836
 
837
  neorv32_trng_inst_false:
838
  if (IO_TRNG_USE = false) generate
839
    trng_rdata <= (others => '0');
840
    trng_ack   <= '0';
841
  end generate;
842
 
843
 
844 34 zero_gravi
  -- Custom Functions Unit 0 (CFU0) ---------------------------------------------------------
845 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
846 34 zero_gravi
  neorv32_cfu0_inst_true:
847
  if (IO_CFU0_USE = true) generate
848
    neorv32_cfu0_inst: neorv32_cfu0
849 23 zero_gravi
    port map (
850
      -- host access --
851
      clk_i       => clk_i,       -- global clock line
852
      rstn_i      => sys_rstn,    -- global reset line, low-active, use as async
853
      addr_i      => p_bus.addr,  -- address
854
      rden_i      => io_rden,     -- read enable
855
      wren_i      => io_wren,     -- write enable
856
      data_i      => p_bus.wdata, -- data in
857 34 zero_gravi
      data_o      => cfu0_rdata,  -- data out
858
      ack_o       => cfu0_ack,    -- transfer acknowledge
859 23 zero_gravi
      -- clock generator --
860 34 zero_gravi
      clkgen_en_o => cfu0_cg_en,  -- enable clock generator
861
      clkgen_i    => clk_gen      -- "clock" inputs
862 23 zero_gravi
      -- custom io --
863
      -- ...
864
    );
865
  end generate;
866
 
867 34 zero_gravi
  neorv32_cfu0_inst_false:
868
  if (IO_CFU0_USE = false) generate
869
    cfu0_rdata <= (others => '0');
870
    cfu0_ack   <= '0';
871
    cfu0_cg_en <= '0';
872 23 zero_gravi
  end generate;
873
 
874
 
875 34 zero_gravi
  -- Custom Functions Unit 1 (CFU1) ---------------------------------------------------------
876
  -- -------------------------------------------------------------------------------------------
877
  neorv32_cfu1_inst_true:
878
  if (IO_CFU1_USE = true) generate
879
    neorv32_cfu1_inst: neorv32_cfu1
880
    port map (
881
      -- host access --
882
      clk_i       => clk_i,       -- global clock line
883
      rstn_i      => sys_rstn,    -- global reset line, low-active, use as async
884
      addr_i      => p_bus.addr,  -- address
885
      rden_i      => io_rden,     -- read enable
886
      wren_i      => io_wren,     -- write enable
887
      data_i      => p_bus.wdata, -- data in
888
      data_o      => cfu1_rdata,  -- data out
889
      ack_o       => cfu1_ack,    -- transfer acknowledge
890
      -- clock generator --
891
      clkgen_en_o => cfu1_cg_en,  -- enable clock generator
892
      clkgen_i    => clk_gen      -- "clock" inputs
893
      -- custom io --
894
      -- ...
895
    );
896
  end generate;
897
 
898
  neorv32_cfu1_inst_false:
899
  if (IO_CFU1_USE = false) generate
900
    cfu1_rdata <= (others => '0');
901
    cfu1_ack   <= '0';
902
    cfu1_cg_en <= '0';
903
  end generate;
904
 
905
 
906 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
907
  -- -------------------------------------------------------------------------------------------
908
  neorv32_sysinfo_inst: neorv32_sysinfo
909
  generic map (
910
    -- General --
911
    CLOCK_FREQUENCY   => CLOCK_FREQUENCY,   -- clock frequency of clk_i in Hz
912
    BOOTLOADER_USE    => BOOTLOADER_USE,    -- implement processor-internal bootloader?
913
    USER_CODE         => USER_CODE,         -- custom user code
914 23 zero_gravi
    -- internal Instruction memory --
915 12 zero_gravi
    MEM_INT_IMEM_USE  => MEM_INT_IMEM_USE,  -- implement processor-internal instruction memory
916
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
917
    MEM_INT_IMEM_ROM  => MEM_INT_IMEM_ROM,  -- implement processor-internal instruction memory as ROM
918 23 zero_gravi
    -- Internal Data memory --
919 12 zero_gravi
    MEM_INT_DMEM_USE  => MEM_INT_DMEM_USE,  -- implement processor-internal data memory
920
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes
921 23 zero_gravi
    -- External memory interface --
922 12 zero_gravi
    MEM_EXT_USE       => MEM_EXT_USE,       -- implement external memory bus interface?
923
    -- Processor peripherals --
924
    IO_GPIO_USE       => IO_GPIO_USE,       -- implement general purpose input/output port unit (GPIO)?
925
    IO_MTIME_USE      => IO_MTIME_USE,      -- implement machine system timer (MTIME)?
926
    IO_UART_USE       => IO_UART_USE,       -- implement universal asynchronous receiver/transmitter (UART)?
927
    IO_SPI_USE        => IO_SPI_USE,        -- implement serial peripheral interface (SPI)?
928
    IO_TWI_USE        => IO_TWI_USE,        -- implement two-wire interface (TWI)?
929
    IO_PWM_USE        => IO_PWM_USE,        -- implement pulse-width modulation unit (PWM)?
930
    IO_WDT_USE        => IO_WDT_USE,        -- implement watch dog timer (WDT)?
931
    IO_TRNG_USE       => IO_TRNG_USE,       -- implement true random number generator (TRNG)?
932 34 zero_gravi
    IO_CFU0_USE       => IO_CFU0_USE,       -- implement custom functions unit 0 (CFU0)?
933
    IO_CFU1_USE       => IO_CFU1_USE        -- implement custom functions unit 1 (CFU1)?
934 12 zero_gravi
  )
935
  port map (
936
    -- host access --
937
    clk_i  => clk_i,         -- global clock line
938
    addr_i => p_bus.addr,    -- address
939
    rden_i => io_rden,       -- read enable
940
    data_o => sysinfo_rdata, -- data out
941
    ack_o  => sysinfo_ack    -- transfer acknowledge
942
  );
943
 
944
 
945 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.