OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 45

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 23 zero_gravi
-- # Check the processor's data sheet for more information: docs/NEORV32.pdf                       #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 44 zero_gravi
    BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 36 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id (hartid)
55 2 zero_gravi
    -- RISC-V CPU Extensions --
56 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
57 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
58 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
59 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
60 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
61 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
62 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
63 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
64 19 zero_gravi
    -- Extension Options --
65 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
66 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
67 15 zero_gravi
    -- Physical Memory Protection (PMP) --
68 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
69
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
70
    -- Hardware Performance Monitors (HPM) --
71
    HPM_NUM_CNTS                 : natural := 0;      -- number of inmplemnted HPM counters (0..29)
72 23 zero_gravi
    -- Internal Instruction memory --
73 44 zero_gravi
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
74 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
75
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
76 23 zero_gravi
    -- Internal Data memory --
77 44 zero_gravi
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
78 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
79 41 zero_gravi
    -- Internal Cache memory --
80 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
81 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
82
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
83 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
84 23 zero_gravi
    -- External memory interface --
85 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
86 2 zero_gravi
    -- Processor peripherals --
87 44 zero_gravi
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
88
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
89
    IO_UART_EN                   : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
90
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
91
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
92
    IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
93
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
94
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
95
    IO_CFU0_EN                   : boolean := false;  -- implement custom functions unit 0 (CFU0)?
96
    IO_CFU1_EN                   : boolean := false   -- implement custom functions unit 1 (CFU1)?
97 2 zero_gravi
  );
98
  port (
99
    -- Global control --
100 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
101
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
102 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
103 36 zero_gravi
    wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
104 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
105
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
106
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
107
    wb_we_o     : out std_ulogic; -- read/write
108
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
109
    wb_stb_o    : out std_ulogic; -- strobe
110
    wb_cyc_o    : out std_ulogic; -- valid cycle
111 39 zero_gravi
    wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
112 34 zero_gravi
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
113
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
114 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
115 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
116
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
117 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
118 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
119
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
120 44 zero_gravi
    -- UART (available if IO_UART_EN = true) --
121 34 zero_gravi
    uart_txd_o  : out std_ulogic; -- UART send data
122
    uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
123 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
124 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
125
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
126
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
127
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
128 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
129 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
130
    twi_scl_io  : inout std_logic; -- twi serial clock line
131 44 zero_gravi
    -- PWM (available if IO_PWM_EN = true) --
132 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
133 44 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_EN = false) --
134 40 zero_gravi
    mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
135 14 zero_gravi
    -- Interrupts --
136 44 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
137 34 zero_gravi
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
138
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
139 2 zero_gravi
  );
140
end neorv32_top;
141
 
142
architecture neorv32_top_rtl of neorv32_top is
143
 
144 12 zero_gravi
  -- CPU boot address --
145 44 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
146 12 zero_gravi
 
147 41 zero_gravi
  -- Bus timeout --
148
  constant bus_timeout_temp_c : natural := 2**index_size_f(bus_timeout_c); -- round to next power-of-two
149 44 zero_gravi
  constant bus_timeout_proc_c : natural := cond_sel_natural_f(ICACHE_EN, ((ICACHE_BLOCK_SIZE/4)*bus_timeout_temp_c)-1, bus_timeout_c);
150 41 zero_gravi
 
151 29 zero_gravi
  -- alignment check for internal memories --
152
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
153
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
154
 
155 2 zero_gravi
  -- reset generator --
156
  signal rstn_i_sync0 : std_ulogic;
157
  signal rstn_i_sync1 : std_ulogic;
158
  signal rstn_i_sync2 : std_ulogic;
159
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
160
  signal ext_rstn     : std_ulogic;
161
  signal sys_rstn     : std_ulogic;
162
  signal wdt_rstn     : std_ulogic;
163
 
164
  -- clock generator --
165
  signal clk_div    : std_ulogic_vector(11 downto 0);
166
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
167
  signal clk_gen    : std_ulogic_vector(07 downto 0);
168
  signal wdt_cg_en  : std_ulogic;
169
  signal uart_cg_en : std_ulogic;
170
  signal spi_cg_en  : std_ulogic;
171
  signal twi_cg_en  : std_ulogic;
172
  signal pwm_cg_en  : std_ulogic;
173 34 zero_gravi
  signal cfu0_cg_en : std_ulogic;
174
  signal cfu1_cg_en : std_ulogic;
175 2 zero_gravi
 
176 12 zero_gravi
  -- bus interface --
177
  type bus_interface_t is record
178 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
179
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
180
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
181
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
182
    we     : std_ulogic; -- write enable
183
    re     : std_ulogic; -- read enable
184
    cancel : std_ulogic; -- cancel current transfer
185
    ack    : std_ulogic; -- bus transfer acknowledge
186
    err    : std_ulogic; -- bus transfer error
187 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
188 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
189 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
190 39 zero_gravi
    lock   : std_ulogic; -- locked/exclusive (=atomic) access
191 11 zero_gravi
  end record;
192 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
193 2 zero_gravi
 
194
  -- io space access --
195
  signal io_acc  : std_ulogic;
196
  signal io_rden : std_ulogic;
197
  signal io_wren : std_ulogic;
198
 
199
  -- read-back busses -
200
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
201
  signal imem_ack       : std_ulogic;
202
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
203
  signal dmem_ack       : std_ulogic;
204
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
205
  signal bootrom_ack    : std_ulogic;
206
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
207
  signal wishbone_ack   : std_ulogic;
208
  signal wishbone_err   : std_ulogic;
209
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
210
  signal gpio_ack       : std_ulogic;
211
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
212
  signal mtime_ack      : std_ulogic;
213
  signal uart_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
214
  signal uart_ack       : std_ulogic;
215
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
216
  signal spi_ack        : std_ulogic;
217
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
218
  signal twi_ack        : std_ulogic;
219
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
220
  signal pwm_ack        : std_ulogic;
221
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
222
  signal wdt_ack        : std_ulogic;
223
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
224
  signal trng_ack       : std_ulogic;
225 34 zero_gravi
  signal cfu0_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
226
  signal cfu0_ack       : std_ulogic;
227
  signal cfu1_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
228
  signal cfu1_ack       : std_ulogic;
229 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
230
  signal sysinfo_ack    : std_ulogic;
231 2 zero_gravi
 
232
  -- IRQs --
233
  signal mtime_irq : std_ulogic;
234 14 zero_gravi
  signal fast_irq  : std_ulogic_vector(3 downto 0);
235 2 zero_gravi
  signal gpio_irq  : std_ulogic;
236
  signal wdt_irq   : std_ulogic;
237
  signal uart_irq  : std_ulogic;
238
  signal spi_irq   : std_ulogic;
239
  signal twi_irq   : std_ulogic;
240
 
241 11 zero_gravi
  -- misc --
242
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
243
 
244 2 zero_gravi
begin
245
 
246
  -- Sanity Checks --------------------------------------------------------------------------
247
  -- -------------------------------------------------------------------------------------------
248 36 zero_gravi
  -- clock --
249
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
250 23 zero_gravi
  -- internal bootloader ROM --
251 44 zero_gravi
  assert not ((BOOTLOADER_EN = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
252
  assert not ((BOOTLOADER_EN = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
253 23 zero_gravi
  -- memory system - data/instruction fetch --
254 44 zero_gravi
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
255
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
256 36 zero_gravi
  -- memory system - size --
257 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
258
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
259 29 zero_gravi
  -- memory system - alignment --
260
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
261
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
262 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
263
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
264 36 zero_gravi
  -- memory system - layout warning --
265 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
266
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
267 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
268 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
269 41 zero_gravi
  -- memory system - cached instruction fetch latency check --
270 44 zero_gravi
  assert not (ICACHE_EN = true) report "NEORV32 PROCESSOR CONFIG WARNING! Implementing i-cache. Increasing bus access timeout from " & integer'image(bus_timeout_c) & " cycles to " & integer'image(bus_timeout_proc_c) & " cycles." severity warning;
271 2 zero_gravi
 
272
 
273
  -- Reset Generator ------------------------------------------------------------------------
274
  -- -------------------------------------------------------------------------------------------
275
  reset_generator_sync: process(clk_i)
276
  begin
277
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
278
    if rising_edge(clk_i) then
279
      rstn_i_sync0 <= rstn_i;
280
      rstn_i_sync1 <= rstn_i_sync0;
281
      rstn_i_sync2 <= rstn_i_sync1;
282
    end if;
283
  end process reset_generator_sync;
284
 
285
  -- keep internal reset active for at least 4 clock cycles
286
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
287
  begin
288 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
289 2 zero_gravi
      rstn_gen <= (others => '0');
290
    elsif rising_edge(clk_i) then
291
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
292
    end if;
293
  end process reset_generator;
294
 
295
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
296 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
297 2 zero_gravi
 
298
 
299
  -- Clock Generator ------------------------------------------------------------------------
300
  -- -------------------------------------------------------------------------------------------
301
  clock_generator: process(sys_rstn, clk_i)
302
  begin
303
    if (sys_rstn = '0') then
304
      clk_div    <= (others => '0');
305
      clk_div_ff <= (others => '0');
306
    elsif rising_edge(clk_i) then
307 23 zero_gravi
      -- fresh clocks anyone? --
308 34 zero_gravi
      if ((wdt_cg_en or uart_cg_en or spi_cg_en or twi_cg_en or pwm_cg_en or cfu0_cg_en or cfu1_cg_en) = '1') then
309 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
310 2 zero_gravi
      end if;
311 23 zero_gravi
      clk_div_ff <= clk_div;
312 2 zero_gravi
    end if;
313
  end process clock_generator;
314
 
315 23 zero_gravi
  -- clock enables: rising edge detectors --
316
  clock_generator_edge: process(clk_i)
317
  begin
318
    if rising_edge(clk_i) then
319
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
320
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
321
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
322
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
323
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
324
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
325
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
326
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
327
    end if;
328
  end process clock_generator_edge;
329 2 zero_gravi
 
330
 
331 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
332 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
333
  neorv32_cpu_inst: neorv32_cpu
334
  generic map (
335
    -- General --
336 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
337
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
338
    BUS_TIMEOUT                  => bus_timeout_proc_c,  -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
339 2 zero_gravi
    -- RISC-V CPU Extensions --
340 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
341 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
342 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
343
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
344
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
345 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
346 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
347
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
348 19 zero_gravi
    -- Extension Options --
349 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
350
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
351 15 zero_gravi
    -- Physical Memory Protection (PMP) --
352 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
353
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
354
    -- Hardware Performance Monitors (HPM) --
355
    HPM_NUM_CNTS                 => HPM_NUM_CNTS         -- number of inmplemnted HPM counters (0..29)
356 2 zero_gravi
  )
357
  port map (
358
    -- global control --
359 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
360
    rstn_i         => sys_rstn,     -- global reset, low-active, async
361
    -- instruction bus interface --
362
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
363
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
364
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
365
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
366
    i_bus_we_o     => cpu_i.we,     -- write enable
367
    i_bus_re_o     => cpu_i.re,     -- read enable
368
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
369
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
370
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
371
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
372 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
373 39 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- locked/exclusive access
374 12 zero_gravi
    -- data bus interface --
375
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
376
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
377
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
378
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
379
    d_bus_we_o     => cpu_d.we,     -- write enable
380
    d_bus_re_o     => cpu_d.re,     -- read enable
381
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
382
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
383
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
384
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
385 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
386 39 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- locked/exclusive access
387 11 zero_gravi
    -- system time input from MTIME --
388 12 zero_gravi
    time_i         => mtime_time,   -- current system time
389 14 zero_gravi
    -- interrupts (risc-v compliant) --
390
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
391
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
392
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
393
    -- fast interrupts (custom) --
394
    firq_i         => fast_irq
395 2 zero_gravi
  );
396
 
397 36 zero_gravi
  -- misc --
398 40 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
399
  cpu_d.src <= '0'; -- initialized but unused
400 36 zero_gravi
 
401 14 zero_gravi
  -- advanced memory control --
402
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
403
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
404 2 zero_gravi
 
405 14 zero_gravi
  -- fast interrupts --
406 34 zero_gravi
  fast_irq(0) <= wdt_irq;            -- highest priority, watchdog timeout interrupt
407
  fast_irq(1) <= gpio_irq;           -- GPIO input pin-change interrupt
408
  fast_irq(2) <= uart_irq;           -- UART TX done or RX complete interrupt
409 14 zero_gravi
  fast_irq(3) <= spi_irq or twi_irq; -- lowest priority, can be triggered by SPI or TWI
410
 
411
 
412 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
413
  -- -------------------------------------------------------------------------------------------
414
  neorv32_icache_inst_true:
415 44 zero_gravi
  if (ICACHE_EN = true) generate
416 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
417 41 zero_gravi
    generic map (
418 45 zero_gravi
      CACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
419
      CACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
420
      CACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
421 41 zero_gravi
    )
422
    port map (
423
      -- global control --
424
      clk_i         => clk_i,          -- global clock, rising edge
425
      rstn_i        => sys_rstn,       -- global reset, low-active, async
426
      clear_i       => cpu_i.fence,    -- cache clear
427
      -- host controller interface --
428
      host_addr_i   => cpu_i.addr,     -- bus access address
429
      host_rdata_o  => cpu_i.rdata,    -- bus read data
430
      host_wdata_i  => cpu_i.wdata,    -- bus write data
431
      host_ben_i    => cpu_i.ben,      -- byte enable
432
      host_we_i     => cpu_i.we,       -- write enable
433
      host_re_i     => cpu_i.re,       -- read enable
434
      host_cancel_i => cpu_i.cancel,   -- cancel current bus transaction
435
      host_lock_i   => cpu_i.lock,     -- locked/exclusive access
436
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
437
      host_err_o    => cpu_i.err,      -- bus transfer error
438
      -- peripheral bus interface --
439
      bus_addr_o    => i_cache.addr,   -- bus access address
440
      bus_rdata_i   => i_cache.rdata,  -- bus read data
441
      bus_wdata_o   => i_cache.wdata,  -- bus write data
442
      bus_ben_o     => i_cache.ben,    -- byte enable
443
      bus_we_o      => i_cache.we,     -- write enable
444
      bus_re_o      => i_cache.re,     -- read enable
445
      bus_cancel_o  => i_cache.cancel, -- cancel current bus transaction
446
      bus_lock_o    => i_cache.lock,   -- locked/exclusive access
447
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
448
      bus_err_i     => i_cache.err     -- bus transfer error
449
    );
450
  end generate;
451
 
452
  neorv32_icache_inst_false:
453 44 zero_gravi
  if (ICACHE_EN = false) generate
454 41 zero_gravi
    i_cache.addr   <= cpu_i.addr;
455
    cpu_i.rdata    <= i_cache.rdata;
456
    i_cache.wdata  <= cpu_i.wdata;
457
    i_cache.ben    <= cpu_i.ben;
458
    i_cache.we     <= cpu_i.we;
459
    i_cache.re     <= cpu_i.re;
460
    i_cache.cancel <= cpu_i.cancel;
461
    i_cache.lock   <= cpu_i.lock;
462
    cpu_i.ack      <= i_cache.ack;
463
    cpu_i.err      <= i_cache.err;
464
  end generate;
465
 
466
 
467 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
468 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
469
  neorv32_busswitch_inst: neorv32_busswitch
470
  generic map (
471
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
472
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
473
  )
474
  port map (
475
    -- global control --
476 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
477
    rstn_i          => sys_rstn,       -- global reset, low-active, async
478 12 zero_gravi
    -- controller interface a --
479 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
480
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
481
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
482
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
483
    ca_bus_we_i     => cpu_d.we,       -- write enable
484
    ca_bus_re_i     => cpu_d.re,       -- read enable
485
    ca_bus_cancel_i => cpu_d.cancel,   -- cancel current bus transaction
486
    ca_bus_lock_i   => cpu_d.lock,     -- locked/exclusive access
487
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
488
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
489 12 zero_gravi
    -- controller interface b --
490 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
491
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
492
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
493
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
494
    cb_bus_we_i     => i_cache.we,     -- write enable
495
    cb_bus_re_i     => i_cache.re,     -- read enable
496
    cb_bus_cancel_i => i_cache.cancel, -- cancel current bus transaction
497
    cb_bus_lock_i   => i_cache.lock,   -- locked/exclusive access
498
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
499
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
500 12 zero_gravi
    -- peripheral bus --
501 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
502
    p_bus_addr_o    => p_bus.addr,     -- bus access address
503
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
504
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
505
    p_bus_ben_o     => p_bus.ben,      -- byte enable
506
    p_bus_we_o      => p_bus.we,       -- write enable
507
    p_bus_re_o      => p_bus.re,       -- read enable
508
    p_bus_cancel_o  => p_bus.cancel,   -- cancel current bus transaction
509
    p_bus_lock_o    => p_bus.lock,     -- locked/exclusive access
510
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
511
    p_bus_err_i     => p_bus.err       -- bus transfer error
512 12 zero_gravi
  );
513 2 zero_gravi
 
514 14 zero_gravi
  -- processor bus: CPU data input --
515 12 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart_rdata or
516 34 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfu0_rdata or cfu1_rdata or sysinfo_rdata);
517 2 zero_gravi
 
518 14 zero_gravi
  -- processor bus: CPU data ACK input --
519 12 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart_ack or
520 34 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfu0_ack or cfu1_ack or sysinfo_ack);
521 12 zero_gravi
 
522 14 zero_gravi
  -- processor bus: CPU data bus error input --
523 12 zero_gravi
  p_bus.err <= wishbone_err;
524
 
525 36 zero_gravi
  -- current CPU privilege level --
526
  p_bus.priv <= cpu_i.priv; -- cpu_i.priv == cpu_d.priv
527 12 zero_gravi
 
528 36 zero_gravi
 
529 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
530
  -- -------------------------------------------------------------------------------------------
531
  neorv32_int_imem_inst_true:
532 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
533 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
534
    generic map (
535 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
536 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
537
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
538 45 zero_gravi
      BOOTLOADER_EN  => BOOTLOADER_EN      -- implement and use bootloader?
539 2 zero_gravi
    )
540
    port map (
541 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
542
      rden_i => p_bus.re,    -- read enable
543
      wren_i => p_bus.we,    -- write enable
544
      ben_i  => p_bus.ben,   -- byte write enable
545
      addr_i => p_bus.addr,  -- address
546
      data_i => p_bus.wdata, -- data in
547
      data_o => imem_rdata,  -- data out
548
      ack_o  => imem_ack     -- transfer acknowledge
549 2 zero_gravi
    );
550
  end generate;
551
 
552
  neorv32_int_imem_inst_false:
553 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
554 2 zero_gravi
    imem_rdata <= (others => '0');
555
    imem_ack   <= '0';
556
  end generate;
557
 
558
 
559
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
560
  -- -------------------------------------------------------------------------------------------
561
  neorv32_int_dmem_inst_true:
562 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
563 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
564
    generic map (
565 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
566 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
567
    )
568
    port map (
569 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
570
      rden_i => p_bus.re,    -- read enable
571
      wren_i => p_bus.we,    -- write enable
572
      ben_i  => p_bus.ben,   -- byte write enable
573
      addr_i => p_bus.addr,  -- address
574
      data_i => p_bus.wdata, -- data in
575
      data_o => dmem_rdata,  -- data out
576
      ack_o  => dmem_ack     -- transfer acknowledge
577 2 zero_gravi
    );
578
  end generate;
579
 
580
  neorv32_int_dmem_inst_false:
581 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
582 2 zero_gravi
    dmem_rdata <= (others => '0');
583
    dmem_ack   <= '0';
584
  end generate;
585
 
586
 
587
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
588
  -- -------------------------------------------------------------------------------------------
589
  neorv32_boot_rom_inst_true:
590 44 zero_gravi
  if (BOOTLOADER_EN = true) generate
591 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
592 23 zero_gravi
    generic map (
593
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
594
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
595
    )
596 2 zero_gravi
    port map (
597
      clk_i  => clk_i,         -- global clock line
598 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
599
      addr_i => p_bus.addr,    -- address
600 2 zero_gravi
      data_o => bootrom_rdata, -- data out
601
      ack_o  => bootrom_ack    -- transfer acknowledge
602
    );
603
  end generate;
604
 
605
  neorv32_boot_rom_inst_false:
606 44 zero_gravi
  if (BOOTLOADER_EN = false) generate
607 2 zero_gravi
    bootrom_rdata <= (others => '0');
608
    bootrom_ack   <= '0';
609
  end generate;
610
 
611
 
612
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
613
  -- -------------------------------------------------------------------------------------------
614
  neorv32_wishbone_inst_true:
615 44 zero_gravi
  if (MEM_EXT_EN = true) generate
616 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
617
    generic map (
618 45 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,    -- false: classic/standard wishbone mode, true: pipelined wishbone mode
619 23 zero_gravi
      -- Internal instruction memory --
620 45 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
621
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
622 23 zero_gravi
      -- Internal data memory --
623 45 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
624
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
625 2 zero_gravi
    )
626
    port map (
627
      -- global control --
628 39 zero_gravi
      clk_i     => clk_i,          -- global clock line
629
      rstn_i    => sys_rstn,       -- global reset line, low-active
630 2 zero_gravi
      -- host access --
631 39 zero_gravi
      src_i     => p_bus.src,      -- access type (0: data, 1:instruction)
632
      addr_i    => p_bus.addr,     -- address
633
      rden_i    => p_bus.re,       -- read enable
634
      wren_i    => p_bus.we,       -- write enable
635
      ben_i     => p_bus.ben,      -- byte write enable
636
      data_i    => p_bus.wdata,    -- data in
637
      data_o    => wishbone_rdata, -- data out
638
      cancel_i  => p_bus.cancel,   -- cancel current transaction
639
      lock_i    => p_bus.lock,     -- locked/exclusive bus access
640
      ack_o     => wishbone_ack,   -- transfer acknowledge
641
      err_o     => wishbone_err,   -- transfer error
642
      priv_i    => p_bus.priv,     -- current CPU privilege level
643 2 zero_gravi
      -- wishbone interface --
644 39 zero_gravi
      wb_tag_o  => wb_tag_o,       -- tag
645
      wb_adr_o  => wb_adr_o,       -- address
646
      wb_dat_i  => wb_dat_i,       -- read data
647
      wb_dat_o  => wb_dat_o,       -- write data
648
      wb_we_o   => wb_we_o,        -- read/write
649
      wb_sel_o  => wb_sel_o,       -- byte enable
650
      wb_stb_o  => wb_stb_o,       -- strobe
651
      wb_cyc_o  => wb_cyc_o,       -- valid cycle
652
      wb_lock_o => wb_lock_o,      -- locked/exclusive bus access
653
      wb_ack_i  => wb_ack_i,       -- transfer acknowledge
654
      wb_err_i  => wb_err_i        -- transfer error
655 2 zero_gravi
    );
656
  end generate;
657
 
658
  neorv32_wishbone_inst_false:
659 44 zero_gravi
  if (MEM_EXT_EN = false) generate
660 2 zero_gravi
    wishbone_rdata <= (others => '0');
661
    wishbone_ack   <= '0';
662
    wishbone_err   <= '0';
663
    --
664 39 zero_gravi
    wb_adr_o  <= (others => '0');
665
    wb_dat_o  <= (others => '0');
666
    wb_we_o   <= '0';
667
    wb_sel_o  <= (others => '0');
668
    wb_stb_o  <= '0';
669
    wb_cyc_o  <= '0';
670
    wb_lock_o <= '0';
671
    wb_tag_o  <= (others => '0');
672 2 zero_gravi
  end generate;
673
 
674
 
675
  -- IO Access? -----------------------------------------------------------------------------
676
  -- -------------------------------------------------------------------------------------------
677 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
678 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
679 22 zero_gravi
  -- the peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
680 40 zero_gravi
  io_wren <= io_acc and p_bus.we and and_all_f(p_bus.ben) and (not p_bus.src); -- PMA: no_execute for IO region
681 2 zero_gravi
 
682
 
683
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
684
  -- -------------------------------------------------------------------------------------------
685
  neorv32_gpio_inst_true:
686 44 zero_gravi
  if (IO_GPIO_EN = true) generate
687 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
688
    port map (
689
      -- host access --
690 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
691
      addr_i => p_bus.addr,  -- address
692
      rden_i => io_rden,     -- read enable
693
      wren_i => io_wren,     -- write enable
694
      data_i => p_bus.wdata, -- data in
695
      data_o => gpio_rdata,  -- data out
696
      ack_o  => gpio_ack,    -- transfer acknowledge
697 2 zero_gravi
      -- parallel io --
698
      gpio_o => gpio_o,
699
      gpio_i => gpio_i,
700
      -- interrupt --
701 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
702 2 zero_gravi
    );
703
  end generate;
704
 
705
  neorv32_gpio_inst_false:
706 44 zero_gravi
  if (IO_GPIO_EN = false) generate
707 2 zero_gravi
    gpio_rdata <= (others => '0');
708
    gpio_ack   <= '0';
709
    gpio_o     <= (others => '0');
710
    gpio_irq   <= '0';
711
  end generate;
712
 
713
 
714
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
715
  -- -------------------------------------------------------------------------------------------
716
  neorv32_wdt_inst_true:
717 44 zero_gravi
  if (IO_WDT_EN = true) generate
718 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
719
    port map (
720
      -- host access --
721 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
722
      rstn_i      => ext_rstn,    -- global reset line, low-active
723
      rden_i      => io_rden,     -- read enable
724
      wren_i      => io_wren,     -- write enable
725
      addr_i      => p_bus.addr,  -- address
726
      data_i      => p_bus.wdata, -- data in
727
      data_o      => wdt_rdata,   -- data out
728
      ack_o       => wdt_ack,     -- transfer acknowledge
729 2 zero_gravi
      -- clock generator --
730 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
731 2 zero_gravi
      clkgen_i    => clk_gen,
732
      -- timeout event --
733 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
734
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
735 2 zero_gravi
    );
736
  end generate;
737
 
738
  neorv32_wdt_inst_false:
739 44 zero_gravi
  if (IO_WDT_EN = false) generate
740 2 zero_gravi
    wdt_rdata <= (others => '0');
741
    wdt_ack   <= '0';
742
    wdt_irq   <= '0';
743
    wdt_rstn  <= '1';
744
    wdt_cg_en <= '0';
745
  end generate;
746
 
747
 
748
  -- Machine System Timer (MTIME) -----------------------------------------------------------
749
  -- -------------------------------------------------------------------------------------------
750
  neorv32_mtime_inst_true:
751 44 zero_gravi
  if (IO_MTIME_EN = true) generate
752 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
753
    port map (
754
      -- host access --
755 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
756
      rstn_i    => sys_rstn,    -- global reset, low-active, async
757
      addr_i    => p_bus.addr,  -- address
758
      rden_i    => io_rden,     -- read enable
759
      wren_i    => io_wren,     -- write enable
760
      data_i    => p_bus.wdata, -- data in
761
      data_o    => mtime_rdata, -- data out
762
      ack_o     => mtime_ack,   -- transfer acknowledge
763 11 zero_gravi
      -- time output for CPU --
764 12 zero_gravi
      time_o    => mtime_time,  -- current system time
765 2 zero_gravi
      -- interrupt --
766 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
767 2 zero_gravi
    );
768
  end generate;
769
 
770
  neorv32_mtime_inst_false:
771 44 zero_gravi
  if (IO_MTIME_EN = false) generate
772 2 zero_gravi
    mtime_rdata <= (others => '0');
773 40 zero_gravi
    mtime_time  <= mtime_i; -- use external machine timer time signal
774 2 zero_gravi
    mtime_ack   <= '0';
775 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
776 2 zero_gravi
  end generate;
777
 
778
 
779
  -- Universal Asynchronous Receiver/Transmitter (UART) -------------------------------------
780
  -- -------------------------------------------------------------------------------------------
781
  neorv32_uart_inst_true:
782 44 zero_gravi
  if (IO_UART_EN = true) generate
783 2 zero_gravi
    neorv32_uart_inst: neorv32_uart
784
    port map (
785
      -- host access --
786 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
787
      addr_i      => p_bus.addr,  -- address
788
      rden_i      => io_rden,     -- read enable
789
      wren_i      => io_wren,     -- write enable
790
      data_i      => p_bus.wdata, -- data in
791
      data_o      => uart_rdata,  -- data out
792
      ack_o       => uart_ack,    -- transfer acknowledge
793 2 zero_gravi
      -- clock generator --
794 12 zero_gravi
      clkgen_en_o => uart_cg_en,  -- enable clock generator
795 2 zero_gravi
      clkgen_i    => clk_gen,
796
      -- com lines --
797
      uart_txd_o  => uart_txd_o,
798
      uart_rxd_i  => uart_rxd_i,
799
      -- interrupts --
800 12 zero_gravi
      uart_irq_o  => uart_irq     -- uart rx/tx interrupt
801 2 zero_gravi
    );
802
  end generate;
803
 
804
  neorv32_uart_inst_false:
805 44 zero_gravi
  if (IO_UART_EN = false) generate
806 2 zero_gravi
    uart_rdata <= (others => '0');
807
    uart_ack   <= '0';
808
    uart_txd_o <= '0';
809
    uart_cg_en <= '0';
810
    uart_irq   <= '0';
811
  end generate;
812
 
813
 
814
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
815
  -- -------------------------------------------------------------------------------------------
816
  neorv32_spi_inst_true:
817 44 zero_gravi
  if (IO_SPI_EN = true) generate
818 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
819
    port map (
820
      -- host access --
821 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
822
      addr_i      => p_bus.addr,  -- address
823
      rden_i      => io_rden,     -- read enable
824
      wren_i      => io_wren,     -- write enable
825
      data_i      => p_bus.wdata, -- data in
826
      data_o      => spi_rdata,   -- data out
827
      ack_o       => spi_ack,     -- transfer acknowledge
828 2 zero_gravi
      -- clock generator --
829 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
830 2 zero_gravi
      clkgen_i    => clk_gen,
831
      -- com lines --
832 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
833
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
834
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
835
      spi_csn_o   => spi_csn_o,   -- SPI CS
836 2 zero_gravi
      -- interrupt --
837 12 zero_gravi
      spi_irq_o   => spi_irq      -- transmission done interrupt
838 2 zero_gravi
    );
839
  end generate;
840
 
841
  neorv32_spi_inst_false:
842 44 zero_gravi
  if (IO_SPI_EN = false) generate
843 2 zero_gravi
    spi_rdata  <= (others => '0');
844
    spi_ack    <= '0';
845 6 zero_gravi
    spi_sck_o  <= '0';
846
    spi_sdo_o  <= '0';
847 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
848
    spi_cg_en  <= '0';
849
    spi_irq    <= '0';
850
  end generate;
851
 
852
 
853
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
854
  -- -------------------------------------------------------------------------------------------
855
  neorv32_twi_inst_true:
856 44 zero_gravi
  if (IO_TWI_EN = true) generate
857 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
858
    port map (
859
      -- host access --
860 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
861
      addr_i      => p_bus.addr,  -- address
862
      rden_i      => io_rden,     -- read enable
863
      wren_i      => io_wren,     -- write enable
864
      data_i      => p_bus.wdata, -- data in
865
      data_o      => twi_rdata,   -- data out
866
      ack_o       => twi_ack,     -- transfer acknowledge
867 2 zero_gravi
      -- clock generator --
868 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
869 2 zero_gravi
      clkgen_i    => clk_gen,
870
      -- com lines --
871 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
872
      twi_scl_io  => twi_scl_io,  -- serial clock line
873 2 zero_gravi
      -- interrupt --
874 12 zero_gravi
      twi_irq_o   => twi_irq      -- transfer done IRQ
875 2 zero_gravi
    );
876
  end generate;
877
 
878
  neorv32_twi_inst_false:
879 44 zero_gravi
  if (IO_TWI_EN = false) generate
880 2 zero_gravi
    twi_rdata  <= (others => '0');
881
    twi_ack    <= '0';
882 35 zero_gravi
--  twi_sda_io <= 'Z';
883
--  twi_scl_io <= 'Z';
884 2 zero_gravi
    twi_cg_en  <= '0';
885
    twi_irq    <= '0';
886
  end generate;
887
 
888
 
889
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
890
  -- -------------------------------------------------------------------------------------------
891
  neorv32_pwm_inst_true:
892 44 zero_gravi
  if (IO_PWM_EN = true) generate
893 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
894
    port map (
895
      -- host access --
896 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
897
      addr_i      => p_bus.addr,  -- address
898
      rden_i      => io_rden,     -- read enable
899
      wren_i      => io_wren,     -- write enable
900
      data_i      => p_bus.wdata, -- data in
901
      data_o      => pwm_rdata,   -- data out
902
      ack_o       => pwm_ack,     -- transfer acknowledge
903 2 zero_gravi
      -- clock generator --
904 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
905 2 zero_gravi
      clkgen_i    => clk_gen,
906
      -- pwm output channels --
907
      pwm_o       => pwm_o
908
    );
909
  end generate;
910
 
911
  neorv32_pwm_inst_false:
912 44 zero_gravi
  if (IO_PWM_EN = false) generate
913 2 zero_gravi
    pwm_rdata <= (others => '0');
914
    pwm_ack   <= '0';
915
    pwm_cg_en <= '0';
916
    pwm_o     <= (others => '0');
917
  end generate;
918
 
919
 
920
  -- True Random Number Generator (TRNG) ----------------------------------------------------
921
  -- -------------------------------------------------------------------------------------------
922
  neorv32_trng_inst_true:
923 44 zero_gravi
  if (IO_TRNG_EN = true) generate
924 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
925
    port map (
926
      -- host access --
927 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
928
      addr_i => p_bus.addr,  -- address
929
      rden_i => io_rden,     -- read enable
930
      wren_i => io_wren,     -- write enable
931
      data_i => p_bus.wdata, -- data in
932
      data_o => trng_rdata,  -- data out
933
      ack_o  => trng_ack     -- transfer acknowledge
934 2 zero_gravi
    );
935
  end generate;
936
 
937
  neorv32_trng_inst_false:
938 44 zero_gravi
  if (IO_TRNG_EN = false) generate
939 2 zero_gravi
    trng_rdata <= (others => '0');
940
    trng_ack   <= '0';
941
  end generate;
942
 
943
 
944 34 zero_gravi
  -- Custom Functions Unit 0 (CFU0) ---------------------------------------------------------
945 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
946 34 zero_gravi
  neorv32_cfu0_inst_true:
947 44 zero_gravi
  if (IO_CFU0_EN = true) generate
948 34 zero_gravi
    neorv32_cfu0_inst: neorv32_cfu0
949 23 zero_gravi
    port map (
950
      -- host access --
951
      clk_i       => clk_i,       -- global clock line
952
      rstn_i      => sys_rstn,    -- global reset line, low-active, use as async
953
      addr_i      => p_bus.addr,  -- address
954
      rden_i      => io_rden,     -- read enable
955
      wren_i      => io_wren,     -- write enable
956
      data_i      => p_bus.wdata, -- data in
957 34 zero_gravi
      data_o      => cfu0_rdata,  -- data out
958
      ack_o       => cfu0_ack,    -- transfer acknowledge
959 23 zero_gravi
      -- clock generator --
960 34 zero_gravi
      clkgen_en_o => cfu0_cg_en,  -- enable clock generator
961
      clkgen_i    => clk_gen      -- "clock" inputs
962 23 zero_gravi
      -- custom io --
963
      -- ...
964
    );
965
  end generate;
966
 
967 34 zero_gravi
  neorv32_cfu0_inst_false:
968 44 zero_gravi
  if (IO_CFU0_EN = false) generate
969 34 zero_gravi
    cfu0_rdata <= (others => '0');
970
    cfu0_ack   <= '0';
971
    cfu0_cg_en <= '0';
972 23 zero_gravi
  end generate;
973
 
974
 
975 34 zero_gravi
  -- Custom Functions Unit 1 (CFU1) ---------------------------------------------------------
976
  -- -------------------------------------------------------------------------------------------
977
  neorv32_cfu1_inst_true:
978 44 zero_gravi
  if (IO_CFU1_EN = true) generate
979 34 zero_gravi
    neorv32_cfu1_inst: neorv32_cfu1
980
    port map (
981
      -- host access --
982
      clk_i       => clk_i,       -- global clock line
983
      rstn_i      => sys_rstn,    -- global reset line, low-active, use as async
984
      addr_i      => p_bus.addr,  -- address
985
      rden_i      => io_rden,     -- read enable
986
      wren_i      => io_wren,     -- write enable
987
      data_i      => p_bus.wdata, -- data in
988
      data_o      => cfu1_rdata,  -- data out
989
      ack_o       => cfu1_ack,    -- transfer acknowledge
990
      -- clock generator --
991
      clkgen_en_o => cfu1_cg_en,  -- enable clock generator
992
      clkgen_i    => clk_gen      -- "clock" inputs
993
      -- custom io --
994
      -- ...
995
    );
996
  end generate;
997
 
998
  neorv32_cfu1_inst_false:
999 44 zero_gravi
  if (IO_CFU1_EN = false) generate
1000 34 zero_gravi
    cfu1_rdata <= (others => '0');
1001
    cfu1_ack   <= '0';
1002
    cfu1_cg_en <= '0';
1003
  end generate;
1004
 
1005
 
1006 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1007
  -- -------------------------------------------------------------------------------------------
1008
  neorv32_sysinfo_inst: neorv32_sysinfo
1009
  generic map (
1010
    -- General --
1011 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1012
    BOOTLOADER_EN        => BOOTLOADER_EN,        -- implement processor-internal bootloader?
1013
    USER_CODE            => USER_CODE,            -- custom user code
1014 23 zero_gravi
    -- internal Instruction memory --
1015 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1016
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1017
    MEM_INT_IMEM_ROM     => MEM_INT_IMEM_ROM,     -- implement processor-internal instruction memory as ROM
1018 23 zero_gravi
    -- Internal Data memory --
1019 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1020
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1021 41 zero_gravi
    -- Internal Cache memory --
1022 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1023
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1024
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1025
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1026 23 zero_gravi
    -- External memory interface --
1027 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1028 12 zero_gravi
    -- Processor peripherals --
1029 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1030
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1031
    IO_UART_EN           => IO_UART_EN,           -- implement universal asynchronous receiver/transmitter (UART)?
1032
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1033
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1034
    IO_PWM_EN            => IO_PWM_EN,            -- implement pulse-width modulation unit (PWM)?
1035
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1036
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1037
    IO_CFU0_EN           => IO_CFU0_EN,           -- implement custom functions unit 0 (CFU0)?
1038
    IO_CFU1_EN           => IO_CFU1_EN            -- implement custom functions unit 1 (CFU1)?
1039 12 zero_gravi
  )
1040
  port map (
1041
    -- host access --
1042
    clk_i  => clk_i,         -- global clock line
1043
    addr_i => p_bus.addr,    -- address
1044
    rden_i => io_rden,       -- read enable
1045
    data_o => sysinfo_rdata, -- data out
1046
    ack_o  => sysinfo_ack    -- transfer acknowledge
1047
  );
1048
 
1049
 
1050 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.