OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 51

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 23 zero_gravi
-- # Check the processor's data sheet for more information: docs/NEORV32.pdf                       #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 44 zero_gravi
    BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
55 50 zero_gravi
 
56 2 zero_gravi
    -- RISC-V CPU Extensions --
57 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
59 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
60 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
61 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
62 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
63 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
64 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
65 50 zero_gravi
 
66 19 zero_gravi
    -- Extension Options --
67 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
68 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
69 50 zero_gravi
 
70 15 zero_gravi
    -- Physical Memory Protection (PMP) --
71 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
72
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
73 50 zero_gravi
 
74 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
75 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
76 50 zero_gravi
 
77 23 zero_gravi
    -- Internal Instruction memory --
78 44 zero_gravi
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
79 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
80
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
81 50 zero_gravi
 
82 23 zero_gravi
    -- Internal Data memory --
83 44 zero_gravi
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
84 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
85 50 zero_gravi
 
86 41 zero_gravi
    -- Internal Cache memory --
87 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
88 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
89
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
90 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
91 50 zero_gravi
 
92 23 zero_gravi
    -- External memory interface --
93 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
94 50 zero_gravi
 
95 2 zero_gravi
    -- Processor peripherals --
96 44 zero_gravi
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
97
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
98 50 zero_gravi
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
99
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
100 44 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
101
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
102
    IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
103
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
104
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
105 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
106 49 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
107
    IO_NCO_EN                    : boolean := true    -- implement numerically-controlled oscillator (NCO)?
108 2 zero_gravi
  );
109
  port (
110
    -- Global control --
111 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
112
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
113 50 zero_gravi
 
114 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
115 36 zero_gravi
    wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
116 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
117
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
118
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
119
    wb_we_o     : out std_ulogic; -- read/write
120
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
121
    wb_stb_o    : out std_ulogic; -- strobe
122
    wb_cyc_o    : out std_ulogic; -- valid cycle
123 39 zero_gravi
    wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
124 34 zero_gravi
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
125
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
126 50 zero_gravi
 
127 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
128 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
129
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
130 50 zero_gravi
 
131 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
132 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
133
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
134 50 zero_gravi
 
135
    -- primary UART0 (available if IO_UART0_EN = true) --
136
    uart0_txd_o : out std_ulogic; -- UART0 send data
137
    uart0_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
138 51 zero_gravi
    uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
139
    uart0_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
140 50 zero_gravi
 
141
    -- secondary UART1 (available if IO_UART1_EN = true) --
142
    uart1_txd_o : out std_ulogic; -- UART1 send data
143
    uart1_rxd_i : in  std_ulogic := '0'; -- UART1 receive data
144 51 zero_gravi
    uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
145
    uart1_cts_i : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
146 50 zero_gravi
 
147 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
148 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
149
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
150
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
151 50 zero_gravi
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- chip-select
152
 
153 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
154 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
155
    twi_scl_io  : inout std_logic; -- twi serial clock line
156 50 zero_gravi
 
157 44 zero_gravi
    -- PWM (available if IO_PWM_EN = true) --
158 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
159 50 zero_gravi
 
160 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
161
    cfs_in_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- custom CFS inputs conduit
162
    cfs_out_o   : out std_ulogic_vector(31 downto 0); -- custom CFS outputs conduit
163 50 zero_gravi
 
164 49 zero_gravi
    -- NCO output (available if IO_NCO_EN = true) --
165
    nco_o       : out std_ulogic_vector(02 downto 0); -- numerically-controlled oscillator channels
166 50 zero_gravi
 
167 44 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_EN = false) --
168 40 zero_gravi
    mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
169 50 zero_gravi
 
170 14 zero_gravi
    -- Interrupts --
171 50 zero_gravi
    soc_firq_i  : in  std_ulogic_vector(5 downto 0) := (others => '0'); -- fast interrupt channels
172 44 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
173 34 zero_gravi
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
174
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
175 2 zero_gravi
  );
176
end neorv32_top;
177
 
178
architecture neorv32_top_rtl of neorv32_top is
179
 
180 12 zero_gravi
  -- CPU boot address --
181 44 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
182 12 zero_gravi
 
183 41 zero_gravi
  -- Bus timeout --
184
  constant bus_timeout_temp_c : natural := 2**index_size_f(bus_timeout_c); -- round to next power-of-two
185 44 zero_gravi
  constant bus_timeout_proc_c : natural := cond_sel_natural_f(ICACHE_EN, ((ICACHE_BLOCK_SIZE/4)*bus_timeout_temp_c)-1, bus_timeout_c);
186 41 zero_gravi
 
187 29 zero_gravi
  -- alignment check for internal memories --
188
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
189
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
190
 
191 2 zero_gravi
  -- reset generator --
192
  signal rstn_i_sync0 : std_ulogic;
193
  signal rstn_i_sync1 : std_ulogic;
194
  signal rstn_i_sync2 : std_ulogic;
195
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
196
  signal ext_rstn     : std_ulogic;
197
  signal sys_rstn     : std_ulogic;
198
  signal wdt_rstn     : std_ulogic;
199
 
200
  -- clock generator --
201
  signal clk_div    : std_ulogic_vector(11 downto 0);
202
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
203
  signal clk_gen    : std_ulogic_vector(07 downto 0);
204 50 zero_gravi
  signal clk_gen_en : std_ulogic_vector(07 downto 0);
205 47 zero_gravi
  --
206 50 zero_gravi
  signal wdt_cg_en   : std_ulogic;
207
  signal uart0_cg_en : std_ulogic;
208
  signal uart1_cg_en : std_ulogic;
209
  signal spi_cg_en   : std_ulogic;
210
  signal twi_cg_en   : std_ulogic;
211
  signal pwm_cg_en   : std_ulogic;
212
  signal cfs_cg_en   : std_ulogic;
213
  signal nco_cg_en   : std_ulogic;
214 2 zero_gravi
 
215 12 zero_gravi
  -- bus interface --
216
  type bus_interface_t is record
217 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
218
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
219
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
220
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
221
    we     : std_ulogic; -- write enable
222
    re     : std_ulogic; -- read enable
223
    cancel : std_ulogic; -- cancel current transfer
224
    ack    : std_ulogic; -- bus transfer acknowledge
225
    err    : std_ulogic; -- bus transfer error
226 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
227 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
228 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
229 39 zero_gravi
    lock   : std_ulogic; -- locked/exclusive (=atomic) access
230 11 zero_gravi
  end record;
231 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
232 2 zero_gravi
 
233
  -- io space access --
234
  signal io_acc  : std_ulogic;
235
  signal io_rden : std_ulogic;
236
  signal io_wren : std_ulogic;
237
 
238
  -- read-back busses -
239
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
240
  signal imem_ack       : std_ulogic;
241
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
242
  signal dmem_ack       : std_ulogic;
243
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
244
  signal bootrom_ack    : std_ulogic;
245
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
246
  signal wishbone_ack   : std_ulogic;
247
  signal wishbone_err   : std_ulogic;
248
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
249
  signal gpio_ack       : std_ulogic;
250
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
251
  signal mtime_ack      : std_ulogic;
252 50 zero_gravi
  signal uart0_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
253
  signal uart0_ack      : std_ulogic;
254
  signal uart1_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
255
  signal uart1_ack      : std_ulogic;
256 2 zero_gravi
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
257
  signal spi_ack        : std_ulogic;
258
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
259
  signal twi_ack        : std_ulogic;
260
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
261
  signal pwm_ack        : std_ulogic;
262
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
263
  signal wdt_ack        : std_ulogic;
264
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
265
  signal trng_ack       : std_ulogic;
266 47 zero_gravi
  signal cfs_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
267
  signal cfs_ack        : std_ulogic;
268 49 zero_gravi
  signal nco_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
269
  signal nco_ack        : std_ulogic;
270 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
271
  signal sysinfo_ack    : std_ulogic;
272 2 zero_gravi
 
273
  -- IRQs --
274 48 zero_gravi
  signal mtime_irq    : std_ulogic;
275 47 zero_gravi
  --
276 48 zero_gravi
  signal fast_irq     : std_ulogic_vector(15 downto 0);
277
  signal fast_irq_ack : std_ulogic_vector(15 downto 0);
278
  --
279 50 zero_gravi
  signal gpio_irq      : std_ulogic;
280
  signal wdt_irq       : std_ulogic;
281
  signal uart0_rxd_irq : std_ulogic;
282
  signal uart0_txd_irq : std_ulogic;
283
  signal uart1_rxd_irq : std_ulogic;
284
  signal uart1_txd_irq : std_ulogic;
285
  signal spi_irq       : std_ulogic;
286
  signal twi_irq       : std_ulogic;
287
  signal cfs_irq       : std_ulogic;
288
  signal cfs_irq_ack   : std_ulogic;
289 2 zero_gravi
 
290 11 zero_gravi
  -- misc --
291
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
292 47 zero_gravi
  signal cpu_sleep  : std_ulogic; -- CPU is in sleep mode when set
293 11 zero_gravi
 
294 2 zero_gravi
begin
295
 
296
  -- Sanity Checks --------------------------------------------------------------------------
297
  -- -------------------------------------------------------------------------------------------
298 36 zero_gravi
  -- clock --
299
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
300 23 zero_gravi
  -- internal bootloader ROM --
301 44 zero_gravi
  assert not ((BOOTLOADER_EN = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
302
  assert not ((BOOTLOADER_EN = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
303 23 zero_gravi
  -- memory system - data/instruction fetch --
304 44 zero_gravi
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
305
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
306 36 zero_gravi
  -- memory system - size --
307 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
308
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
309 29 zero_gravi
  -- memory system - alignment --
310
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
311
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
312 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
313
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
314 36 zero_gravi
  -- memory system - layout warning --
315 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
316
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
317 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
318 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
319 41 zero_gravi
  -- memory system - cached instruction fetch latency check --
320 44 zero_gravi
  assert not (ICACHE_EN = true) report "NEORV32 PROCESSOR CONFIG WARNING! Implementing i-cache. Increasing bus access timeout from " & integer'image(bus_timeout_c) & " cycles to " & integer'image(bus_timeout_proc_c) & " cycles." severity warning;
321 2 zero_gravi
 
322
 
323
  -- Reset Generator ------------------------------------------------------------------------
324
  -- -------------------------------------------------------------------------------------------
325
  reset_generator_sync: process(clk_i)
326
  begin
327
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
328
    if rising_edge(clk_i) then
329
      rstn_i_sync0 <= rstn_i;
330
      rstn_i_sync1 <= rstn_i_sync0;
331
      rstn_i_sync2 <= rstn_i_sync1;
332
    end if;
333
  end process reset_generator_sync;
334
 
335
  -- keep internal reset active for at least 4 clock cycles
336
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
337
  begin
338 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
339 2 zero_gravi
      rstn_gen <= (others => '0');
340
    elsif rising_edge(clk_i) then
341
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
342
    end if;
343
  end process reset_generator;
344
 
345
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
346 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
347 2 zero_gravi
 
348
 
349
  -- Clock Generator ------------------------------------------------------------------------
350
  -- -------------------------------------------------------------------------------------------
351
  clock_generator: process(sys_rstn, clk_i)
352
  begin
353
    if (sys_rstn = '0') then
354
      clk_div    <= (others => '0');
355
      clk_div_ff <= (others => '0');
356 50 zero_gravi
      clk_gen_en <= (others => '0');
357 2 zero_gravi
    elsif rising_edge(clk_i) then
358 23 zero_gravi
      -- fresh clocks anyone? --
359 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
360
      clk_gen_en(1) <= uart0_cg_en;
361
      clk_gen_en(2) <= uart1_cg_en;
362
      clk_gen_en(3) <= spi_cg_en;
363
      clk_gen_en(4) <= twi_cg_en;
364
      clk_gen_en(5) <= pwm_cg_en;
365
      clk_gen_en(6) <= cfs_cg_en;
366
      clk_gen_en(7) <= nco_cg_en;
367
      if (or_all_f(clk_gen_en) = '1') then
368 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
369 2 zero_gravi
      end if;
370 23 zero_gravi
      clk_div_ff <= clk_div;
371 2 zero_gravi
    end if;
372
  end process clock_generator;
373
 
374 23 zero_gravi
  -- clock enables: rising edge detectors --
375
  clock_generator_edge: process(clk_i)
376
  begin
377
    if rising_edge(clk_i) then
378
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
379
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
380
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
381
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
382
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
383
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
384
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
385
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
386
    end if;
387
  end process clock_generator_edge;
388 2 zero_gravi
 
389
 
390 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
391 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
392
  neorv32_cpu_inst: neorv32_cpu
393
  generic map (
394
    -- General --
395 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
396
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
397
    BUS_TIMEOUT                  => bus_timeout_proc_c,  -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
398 2 zero_gravi
    -- RISC-V CPU Extensions --
399 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
400 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
401 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
402
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
403
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
404 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
405 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
406
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
407 19 zero_gravi
    -- Extension Options --
408 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
409
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
410 15 zero_gravi
    -- Physical Memory Protection (PMP) --
411 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
412
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
413
    -- Hardware Performance Monitors (HPM) --
414 47 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS         -- number of implemented HPM counters (0..29)
415 2 zero_gravi
  )
416
  port map (
417
    -- global control --
418 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
419
    rstn_i         => sys_rstn,     -- global reset, low-active, async
420 47 zero_gravi
    sleep_o        => cpu_sleep,    -- cpu is in sleep mode when set
421 12 zero_gravi
    -- instruction bus interface --
422
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
423
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
424
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
425
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
426
    i_bus_we_o     => cpu_i.we,     -- write enable
427
    i_bus_re_o     => cpu_i.re,     -- read enable
428
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
429
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
430
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
431
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
432 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
433 39 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- locked/exclusive access
434 12 zero_gravi
    -- data bus interface --
435
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
436
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
437
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
438
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
439
    d_bus_we_o     => cpu_d.we,     -- write enable
440
    d_bus_re_o     => cpu_d.re,     -- read enable
441
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
442
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
443
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
444
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
445 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
446 39 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- locked/exclusive access
447 11 zero_gravi
    -- system time input from MTIME --
448 12 zero_gravi
    time_i         => mtime_time,   -- current system time
449 14 zero_gravi
    -- interrupts (risc-v compliant) --
450
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
451
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
452
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
453
    -- fast interrupts (custom) --
454 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
455
    firq_ack_o     => fast_irq_ack  -- fast interrupt acknowledge mask
456 2 zero_gravi
  );
457
 
458 36 zero_gravi
  -- misc --
459 40 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
460
  cpu_d.src <= '0'; -- initialized but unused
461 36 zero_gravi
 
462 14 zero_gravi
  -- advanced memory control --
463
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
464
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
465 2 zero_gravi
 
466 47 zero_gravi
  -- fast interrupts - processor-internal --
467 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
468
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
469
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) data received
470
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) sending done
471
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) data received
472
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) sending done
473
  fast_irq(06) <= spi_irq;       -- SPI transmission done
474
  fast_irq(07) <= twi_irq;       -- TWI transmission done
475
  fast_irq(08) <= gpio_irq;      -- GPIO pin-change
476
  fast_irq(09) <= '0';           -- reserved
477 14 zero_gravi
 
478 48 zero_gravi
  -- fast interrupts - platform level (for custom use) --
479 50 zero_gravi
  fast_irq(10) <= soc_firq_i(0);
480
  fast_irq(11) <= soc_firq_i(1);
481
  fast_irq(12) <= soc_firq_i(2);
482
  fast_irq(13) <= soc_firq_i(3);
483
  fast_irq(14) <= soc_firq_i(4);
484
  fast_irq(15) <= soc_firq_i(5);
485 14 zero_gravi
 
486 51 zero_gravi
  -- CFS IRQ acknowledge --
487
  cfs_irq_ack <= fast_irq_ack(1);
488 48 zero_gravi
 
489
 
490 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
491
  -- -------------------------------------------------------------------------------------------
492
  neorv32_icache_inst_true:
493 44 zero_gravi
  if (ICACHE_EN = true) generate
494 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
495 41 zero_gravi
    generic map (
496 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
497
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
498
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
499 41 zero_gravi
    )
500
    port map (
501
      -- global control --
502
      clk_i         => clk_i,          -- global clock, rising edge
503
      rstn_i        => sys_rstn,       -- global reset, low-active, async
504
      clear_i       => cpu_i.fence,    -- cache clear
505
      -- host controller interface --
506
      host_addr_i   => cpu_i.addr,     -- bus access address
507
      host_rdata_o  => cpu_i.rdata,    -- bus read data
508
      host_wdata_i  => cpu_i.wdata,    -- bus write data
509
      host_ben_i    => cpu_i.ben,      -- byte enable
510
      host_we_i     => cpu_i.we,       -- write enable
511
      host_re_i     => cpu_i.re,       -- read enable
512
      host_cancel_i => cpu_i.cancel,   -- cancel current bus transaction
513
      host_lock_i   => cpu_i.lock,     -- locked/exclusive access
514
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
515
      host_err_o    => cpu_i.err,      -- bus transfer error
516
      -- peripheral bus interface --
517
      bus_addr_o    => i_cache.addr,   -- bus access address
518
      bus_rdata_i   => i_cache.rdata,  -- bus read data
519
      bus_wdata_o   => i_cache.wdata,  -- bus write data
520
      bus_ben_o     => i_cache.ben,    -- byte enable
521
      bus_we_o      => i_cache.we,     -- write enable
522
      bus_re_o      => i_cache.re,     -- read enable
523
      bus_cancel_o  => i_cache.cancel, -- cancel current bus transaction
524
      bus_lock_o    => i_cache.lock,   -- locked/exclusive access
525
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
526
      bus_err_i     => i_cache.err     -- bus transfer error
527
    );
528
  end generate;
529
 
530
  neorv32_icache_inst_false:
531 44 zero_gravi
  if (ICACHE_EN = false) generate
532 41 zero_gravi
    i_cache.addr   <= cpu_i.addr;
533
    cpu_i.rdata    <= i_cache.rdata;
534
    i_cache.wdata  <= cpu_i.wdata;
535
    i_cache.ben    <= cpu_i.ben;
536
    i_cache.we     <= cpu_i.we;
537
    i_cache.re     <= cpu_i.re;
538
    i_cache.cancel <= cpu_i.cancel;
539
    i_cache.lock   <= cpu_i.lock;
540
    cpu_i.ack      <= i_cache.ack;
541
    cpu_i.err      <= i_cache.err;
542
  end generate;
543
 
544
 
545 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
546 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
547
  neorv32_busswitch_inst: neorv32_busswitch
548
  generic map (
549
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
550
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
551
  )
552
  port map (
553
    -- global control --
554 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
555
    rstn_i          => sys_rstn,       -- global reset, low-active, async
556 12 zero_gravi
    -- controller interface a --
557 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
558
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
559
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
560
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
561
    ca_bus_we_i     => cpu_d.we,       -- write enable
562
    ca_bus_re_i     => cpu_d.re,       -- read enable
563
    ca_bus_cancel_i => cpu_d.cancel,   -- cancel current bus transaction
564
    ca_bus_lock_i   => cpu_d.lock,     -- locked/exclusive access
565
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
566
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
567 12 zero_gravi
    -- controller interface b --
568 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
569
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
570
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
571
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
572
    cb_bus_we_i     => i_cache.we,     -- write enable
573
    cb_bus_re_i     => i_cache.re,     -- read enable
574
    cb_bus_cancel_i => i_cache.cancel, -- cancel current bus transaction
575
    cb_bus_lock_i   => i_cache.lock,   -- locked/exclusive access
576
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
577
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
578 12 zero_gravi
    -- peripheral bus --
579 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
580
    p_bus_addr_o    => p_bus.addr,     -- bus access address
581
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
582
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
583
    p_bus_ben_o     => p_bus.ben,      -- byte enable
584
    p_bus_we_o      => p_bus.we,       -- write enable
585
    p_bus_re_o      => p_bus.re,       -- read enable
586
    p_bus_cancel_o  => p_bus.cancel,   -- cancel current bus transaction
587
    p_bus_lock_o    => p_bus.lock,     -- locked/exclusive access
588
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
589
    p_bus_err_i     => p_bus.err       -- bus transfer error
590 12 zero_gravi
  );
591 2 zero_gravi
 
592 49 zero_gravi
  -- processor bus: CPU transfer data input --
593 50 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart0_rdata or uart1_rdata or
594 49 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfs_rdata or nco_rdata or sysinfo_rdata);
595 2 zero_gravi
 
596 49 zero_gravi
  -- processor bus: CPU transfer ACK input --
597 50 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart0_ack or uart1_ack or
598 49 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfs_ack or nco_ack or sysinfo_ack);
599 12 zero_gravi
 
600 49 zero_gravi
  -- processor bus: CPU transfer data bus error input --
601 50 zero_gravi
  p_bus.err <= wishbone_err;
602 12 zero_gravi
 
603 36 zero_gravi
  -- current CPU privilege level --
604
  p_bus.priv <= cpu_i.priv; -- cpu_i.priv == cpu_d.priv
605 12 zero_gravi
 
606 36 zero_gravi
 
607 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
608
  -- -------------------------------------------------------------------------------------------
609
  neorv32_int_imem_inst_true:
610 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
611 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
612
    generic map (
613 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
614 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
615
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
616 45 zero_gravi
      BOOTLOADER_EN  => BOOTLOADER_EN      -- implement and use bootloader?
617 2 zero_gravi
    )
618
    port map (
619 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
620
      rden_i => p_bus.re,    -- read enable
621
      wren_i => p_bus.we,    -- write enable
622
      ben_i  => p_bus.ben,   -- byte write enable
623
      addr_i => p_bus.addr,  -- address
624
      data_i => p_bus.wdata, -- data in
625
      data_o => imem_rdata,  -- data out
626
      ack_o  => imem_ack     -- transfer acknowledge
627 2 zero_gravi
    );
628
  end generate;
629
 
630
  neorv32_int_imem_inst_false:
631 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
632 2 zero_gravi
    imem_rdata <= (others => '0');
633
    imem_ack   <= '0';
634
  end generate;
635
 
636
 
637
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
638
  -- -------------------------------------------------------------------------------------------
639
  neorv32_int_dmem_inst_true:
640 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
641 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
642
    generic map (
643 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
644 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
645
    )
646
    port map (
647 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
648
      rden_i => p_bus.re,    -- read enable
649
      wren_i => p_bus.we,    -- write enable
650
      ben_i  => p_bus.ben,   -- byte write enable
651
      addr_i => p_bus.addr,  -- address
652
      data_i => p_bus.wdata, -- data in
653
      data_o => dmem_rdata,  -- data out
654
      ack_o  => dmem_ack     -- transfer acknowledge
655 2 zero_gravi
    );
656
  end generate;
657
 
658
  neorv32_int_dmem_inst_false:
659 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
660 2 zero_gravi
    dmem_rdata <= (others => '0');
661
    dmem_ack   <= '0';
662
  end generate;
663
 
664
 
665
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
666
  -- -------------------------------------------------------------------------------------------
667
  neorv32_boot_rom_inst_true:
668 44 zero_gravi
  if (BOOTLOADER_EN = true) generate
669 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
670 23 zero_gravi
    generic map (
671
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
672
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
673
    )
674 2 zero_gravi
    port map (
675
      clk_i  => clk_i,         -- global clock line
676 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
677
      addr_i => p_bus.addr,    -- address
678 2 zero_gravi
      data_o => bootrom_rdata, -- data out
679
      ack_o  => bootrom_ack    -- transfer acknowledge
680
    );
681
  end generate;
682
 
683
  neorv32_boot_rom_inst_false:
684 44 zero_gravi
  if (BOOTLOADER_EN = false) generate
685 2 zero_gravi
    bootrom_rdata <= (others => '0');
686
    bootrom_ack   <= '0';
687
  end generate;
688
 
689
 
690
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
691
  -- -------------------------------------------------------------------------------------------
692
  neorv32_wishbone_inst_true:
693 44 zero_gravi
  if (MEM_EXT_EN = true) generate
694 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
695
    generic map (
696 45 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,    -- false: classic/standard wishbone mode, true: pipelined wishbone mode
697 23 zero_gravi
      -- Internal instruction memory --
698 45 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
699
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
700 23 zero_gravi
      -- Internal data memory --
701 45 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
702
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
703 2 zero_gravi
    )
704
    port map (
705
      -- global control --
706 39 zero_gravi
      clk_i     => clk_i,          -- global clock line
707
      rstn_i    => sys_rstn,       -- global reset line, low-active
708 2 zero_gravi
      -- host access --
709 39 zero_gravi
      src_i     => p_bus.src,      -- access type (0: data, 1:instruction)
710
      addr_i    => p_bus.addr,     -- address
711
      rden_i    => p_bus.re,       -- read enable
712
      wren_i    => p_bus.we,       -- write enable
713
      ben_i     => p_bus.ben,      -- byte write enable
714
      data_i    => p_bus.wdata,    -- data in
715
      data_o    => wishbone_rdata, -- data out
716
      cancel_i  => p_bus.cancel,   -- cancel current transaction
717
      lock_i    => p_bus.lock,     -- locked/exclusive bus access
718
      ack_o     => wishbone_ack,   -- transfer acknowledge
719
      err_o     => wishbone_err,   -- transfer error
720
      priv_i    => p_bus.priv,     -- current CPU privilege level
721 2 zero_gravi
      -- wishbone interface --
722 39 zero_gravi
      wb_tag_o  => wb_tag_o,       -- tag
723
      wb_adr_o  => wb_adr_o,       -- address
724
      wb_dat_i  => wb_dat_i,       -- read data
725
      wb_dat_o  => wb_dat_o,       -- write data
726
      wb_we_o   => wb_we_o,        -- read/write
727
      wb_sel_o  => wb_sel_o,       -- byte enable
728
      wb_stb_o  => wb_stb_o,       -- strobe
729
      wb_cyc_o  => wb_cyc_o,       -- valid cycle
730
      wb_lock_o => wb_lock_o,      -- locked/exclusive bus access
731
      wb_ack_i  => wb_ack_i,       -- transfer acknowledge
732
      wb_err_i  => wb_err_i        -- transfer error
733 2 zero_gravi
    );
734
  end generate;
735
 
736
  neorv32_wishbone_inst_false:
737 44 zero_gravi
  if (MEM_EXT_EN = false) generate
738 2 zero_gravi
    wishbone_rdata <= (others => '0');
739
    wishbone_ack   <= '0';
740
    wishbone_err   <= '0';
741
    --
742 39 zero_gravi
    wb_adr_o  <= (others => '0');
743
    wb_dat_o  <= (others => '0');
744
    wb_we_o   <= '0';
745
    wb_sel_o  <= (others => '0');
746
    wb_stb_o  <= '0';
747
    wb_cyc_o  <= '0';
748
    wb_lock_o <= '0';
749
    wb_tag_o  <= (others => '0');
750 2 zero_gravi
  end generate;
751
 
752
 
753
  -- IO Access? -----------------------------------------------------------------------------
754
  -- -------------------------------------------------------------------------------------------
755 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
756 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
757 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
758
  io_wren <= io_acc and p_bus.we and and_all_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
759 2 zero_gravi
 
760
 
761 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
762
  -- -------------------------------------------------------------------------------------------
763
  neorv32_cfs_inst_true:
764
  if (IO_CFS_EN = true) generate
765
    neorv32_cfs_inst: neorv32_cfs
766
    generic map (
767
      CFS_CONFIG => IO_CFS_CONFIG     -- custom CFS configuration generic
768
    )
769
    port map (
770
      -- host access --
771
      clk_i       => clk_i,           -- global clock line
772
      rstn_i      => sys_rstn,        -- global reset line, low-active, use as async
773
      addr_i      => p_bus.addr,      -- address
774
      rden_i      => io_rden,         -- read enable
775
      wren_i      => io_wren,         -- byte write enable
776
      data_i      => p_bus.wdata,     -- data in
777
      data_o      => cfs_rdata,       -- data out
778
      ack_o       => cfs_ack,         -- transfer acknowledge
779
      -- clock generator --
780
      clkgen_en_o => cfs_cg_en,       -- enable clock generator
781
      clkgen_i    => clk_gen,         -- "clock" inputs
782
      -- CPU state --
783
      sleep_i     => cpu_sleep,       -- set if cpu is in sleep mode
784
      -- interrupt --
785
      irq_o       => cfs_irq,         -- interrupt request
786 48 zero_gravi
      irq_ack_i   => cfs_irq_ack,     -- interrupt acknowledge
787 47 zero_gravi
      -- custom io (conduit) --
788
      cfs_in_i    => cfs_in_i,        -- custom inputs
789
      cfs_out_o   => cfs_out_o        -- custom outputs
790
    );
791
  end generate;
792
 
793
  neorv32_cfs_inst_false:
794
  if (IO_CFS_EN = false) generate
795
    cfs_rdata <= (others => '0');
796
    cfs_ack   <= '0';
797
    cfs_cg_en <= '0';
798
    cfs_irq   <= '0';
799
    cfs_out_o <= (others => '0');
800
  end generate;
801
 
802
 
803 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
804
  -- -------------------------------------------------------------------------------------------
805
  neorv32_gpio_inst_true:
806 44 zero_gravi
  if (IO_GPIO_EN = true) generate
807 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
808
    port map (
809
      -- host access --
810 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
811
      addr_i => p_bus.addr,  -- address
812
      rden_i => io_rden,     -- read enable
813
      wren_i => io_wren,     -- write enable
814
      data_i => p_bus.wdata, -- data in
815
      data_o => gpio_rdata,  -- data out
816
      ack_o  => gpio_ack,    -- transfer acknowledge
817 2 zero_gravi
      -- parallel io --
818
      gpio_o => gpio_o,
819
      gpio_i => gpio_i,
820
      -- interrupt --
821 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
822 2 zero_gravi
    );
823
  end generate;
824
 
825
  neorv32_gpio_inst_false:
826 44 zero_gravi
  if (IO_GPIO_EN = false) generate
827 2 zero_gravi
    gpio_rdata <= (others => '0');
828
    gpio_ack   <= '0';
829
    gpio_o     <= (others => '0');
830
    gpio_irq   <= '0';
831
  end generate;
832
 
833
 
834
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
835
  -- -------------------------------------------------------------------------------------------
836
  neorv32_wdt_inst_true:
837 44 zero_gravi
  if (IO_WDT_EN = true) generate
838 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
839
    port map (
840
      -- host access --
841 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
842
      rstn_i      => ext_rstn,    -- global reset line, low-active
843
      rden_i      => io_rden,     -- read enable
844
      wren_i      => io_wren,     -- write enable
845
      addr_i      => p_bus.addr,  -- address
846
      data_i      => p_bus.wdata, -- data in
847
      data_o      => wdt_rdata,   -- data out
848
      ack_o       => wdt_ack,     -- transfer acknowledge
849 2 zero_gravi
      -- clock generator --
850 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
851 2 zero_gravi
      clkgen_i    => clk_gen,
852
      -- timeout event --
853 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
854
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
855 2 zero_gravi
    );
856
  end generate;
857
 
858
  neorv32_wdt_inst_false:
859 44 zero_gravi
  if (IO_WDT_EN = false) generate
860 2 zero_gravi
    wdt_rdata <= (others => '0');
861
    wdt_ack   <= '0';
862
    wdt_irq   <= '0';
863
    wdt_rstn  <= '1';
864
    wdt_cg_en <= '0';
865
  end generate;
866
 
867
 
868
  -- Machine System Timer (MTIME) -----------------------------------------------------------
869
  -- -------------------------------------------------------------------------------------------
870
  neorv32_mtime_inst_true:
871 44 zero_gravi
  if (IO_MTIME_EN = true) generate
872 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
873
    port map (
874
      -- host access --
875 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
876
      rstn_i    => sys_rstn,    -- global reset, low-active, async
877
      addr_i    => p_bus.addr,  -- address
878
      rden_i    => io_rden,     -- read enable
879
      wren_i    => io_wren,     -- write enable
880
      data_i    => p_bus.wdata, -- data in
881
      data_o    => mtime_rdata, -- data out
882
      ack_o     => mtime_ack,   -- transfer acknowledge
883 11 zero_gravi
      -- time output for CPU --
884 12 zero_gravi
      time_o    => mtime_time,  -- current system time
885 2 zero_gravi
      -- interrupt --
886 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
887 2 zero_gravi
    );
888
  end generate;
889
 
890
  neorv32_mtime_inst_false:
891 44 zero_gravi
  if (IO_MTIME_EN = false) generate
892 2 zero_gravi
    mtime_rdata <= (others => '0');
893 40 zero_gravi
    mtime_time  <= mtime_i; -- use external machine timer time signal
894 2 zero_gravi
    mtime_ack   <= '0';
895 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
896 2 zero_gravi
  end generate;
897
 
898
 
899 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
900 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
901 50 zero_gravi
  neorv32_uart0_inst_true:
902
  if (IO_UART0_EN = true) generate
903
    neorv32_uart0_inst: neorv32_uart
904
    generic map (
905
      UART_PRIMARY => true -- true = primary UART (UART0), false = secondary UART (UART1)
906
    )
907 2 zero_gravi
    port map (
908
      -- host access --
909 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
910
      addr_i      => p_bus.addr,    -- address
911
      rden_i      => io_rden,       -- read enable
912
      wren_i      => io_wren,       -- write enable
913
      data_i      => p_bus.wdata,   -- data in
914
      data_o      => uart0_rdata,   -- data out
915
      ack_o       => uart0_ack,     -- transfer acknowledge
916 2 zero_gravi
      -- clock generator --
917 51 zero_gravi
      clkgen_en_o => uart0_cg_en,   -- enable clock generator
918 2 zero_gravi
      clkgen_i    => clk_gen,
919
      -- com lines --
920 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
921
      uart_rxd_i  => uart0_rxd_i,
922 51 zero_gravi
      -- hardware flow control --
923
      uart_rts_o  => uart0_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
924
      uart_cts_i  => uart0_cts_i,   -- UART.TX allowed to transmit, low-active, optional
925 2 zero_gravi
      -- interrupts --
926 50 zero_gravi
      irq_rxd_o   => uart0_rxd_irq, -- uart data received interrupt
927
      irq_txd_o   => uart0_txd_irq  -- uart transmission done interrupt
928 2 zero_gravi
    );
929
  end generate;
930
 
931 50 zero_gravi
  neorv32_uart0_inst_false:
932
  if (IO_UART0_EN = false) generate
933
    uart0_rdata   <= (others => '0');
934
    uart0_ack     <= '0';
935
    uart0_txd_o   <= '0';
936 51 zero_gravi
    uart0_rts_o   <= '0';
937 50 zero_gravi
    uart0_cg_en   <= '0';
938
    uart0_rxd_irq <= '0';
939
    uart0_txd_irq <= '0';
940 2 zero_gravi
  end generate;
941
 
942
 
943 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
944 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
945
  neorv32_uart1_inst_true:
946
  if (IO_UART1_EN = true) generate
947
    neorv32_uart1_inst: neorv32_uart
948
    generic map (
949
      UART_PRIMARY => false -- true = primary UART (UART0), false = secondary UART (UART1)
950
    )
951
    port map (
952
      -- host access --
953 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
954
      addr_i      => p_bus.addr,    -- address
955
      rden_i      => io_rden,       -- read enable
956
      wren_i      => io_wren,       -- write enable
957
      data_i      => p_bus.wdata,   -- data in
958
      data_o      => uart1_rdata,   -- data out
959
      ack_o       => uart1_ack,     -- transfer acknowledge
960 50 zero_gravi
      -- clock generator --
961 51 zero_gravi
      clkgen_en_o => uart1_cg_en,   -- enable clock generator
962 50 zero_gravi
      clkgen_i    => clk_gen,
963
      -- com lines --
964
      uart_txd_o  => uart1_txd_o,
965
      uart_rxd_i  => uart1_rxd_i,
966 51 zero_gravi
      -- hardware flow control --
967
      uart_rts_o  => uart1_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
968
      uart_cts_i  => uart1_cts_i,   -- UART.TX allowed to transmit, low-active, optional
969 50 zero_gravi
      -- interrupts --
970
      irq_rxd_o   => uart1_rxd_irq, -- uart data received interrupt
971
      irq_txd_o   => uart1_txd_irq  -- uart transmission done interrupt
972
    );
973
  end generate;
974
 
975
  neorv32_uart1_inst_false:
976
  if (IO_UART1_EN = false) generate
977
    uart1_rdata   <= (others => '0');
978
    uart1_ack     <= '0';
979
    uart1_txd_o   <= '0';
980 51 zero_gravi
    uart1_rts_o   <= '0';
981 50 zero_gravi
    uart1_cg_en   <= '0';
982
    uart1_rxd_irq <= '0';
983
    uart1_txd_irq <= '0';
984
  end generate;
985
 
986
 
987 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
988
  -- -------------------------------------------------------------------------------------------
989
  neorv32_spi_inst_true:
990 44 zero_gravi
  if (IO_SPI_EN = true) generate
991 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
992
    port map (
993
      -- host access --
994 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
995
      addr_i      => p_bus.addr,  -- address
996
      rden_i      => io_rden,     -- read enable
997
      wren_i      => io_wren,     -- write enable
998
      data_i      => p_bus.wdata, -- data in
999
      data_o      => spi_rdata,   -- data out
1000
      ack_o       => spi_ack,     -- transfer acknowledge
1001 2 zero_gravi
      -- clock generator --
1002 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
1003 2 zero_gravi
      clkgen_i    => clk_gen,
1004
      -- com lines --
1005 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
1006
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
1007
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
1008
      spi_csn_o   => spi_csn_o,   -- SPI CS
1009 2 zero_gravi
      -- interrupt --
1010 48 zero_gravi
      irq_o       => spi_irq      -- transmission done interrupt
1011 2 zero_gravi
    );
1012
  end generate;
1013
 
1014
  neorv32_spi_inst_false:
1015 44 zero_gravi
  if (IO_SPI_EN = false) generate
1016 2 zero_gravi
    spi_rdata  <= (others => '0');
1017
    spi_ack    <= '0';
1018 6 zero_gravi
    spi_sck_o  <= '0';
1019
    spi_sdo_o  <= '0';
1020 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
1021
    spi_cg_en  <= '0';
1022
    spi_irq    <= '0';
1023
  end generate;
1024
 
1025
 
1026
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1027
  -- -------------------------------------------------------------------------------------------
1028
  neorv32_twi_inst_true:
1029 44 zero_gravi
  if (IO_TWI_EN = true) generate
1030 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1031
    port map (
1032
      -- host access --
1033 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1034
      addr_i      => p_bus.addr,  -- address
1035
      rden_i      => io_rden,     -- read enable
1036
      wren_i      => io_wren,     -- write enable
1037
      data_i      => p_bus.wdata, -- data in
1038
      data_o      => twi_rdata,   -- data out
1039
      ack_o       => twi_ack,     -- transfer acknowledge
1040 2 zero_gravi
      -- clock generator --
1041 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
1042 2 zero_gravi
      clkgen_i    => clk_gen,
1043
      -- com lines --
1044 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
1045
      twi_scl_io  => twi_scl_io,  -- serial clock line
1046 2 zero_gravi
      -- interrupt --
1047 48 zero_gravi
      irq_o       => twi_irq      -- transfer done IRQ
1048 2 zero_gravi
    );
1049
  end generate;
1050
 
1051
  neorv32_twi_inst_false:
1052 44 zero_gravi
  if (IO_TWI_EN = false) generate
1053 2 zero_gravi
    twi_rdata  <= (others => '0');
1054
    twi_ack    <= '0';
1055 51 zero_gravi
--  twi_sda_io <= 'Z'; -- FIXME?
1056
--  twi_scl_io <= 'Z'; -- FIXME?
1057 2 zero_gravi
    twi_cg_en  <= '0';
1058
    twi_irq    <= '0';
1059
  end generate;
1060
 
1061
 
1062
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1063
  -- -------------------------------------------------------------------------------------------
1064
  neorv32_pwm_inst_true:
1065 44 zero_gravi
  if (IO_PWM_EN = true) generate
1066 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1067
    port map (
1068
      -- host access --
1069 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1070
      addr_i      => p_bus.addr,  -- address
1071
      rden_i      => io_rden,     -- read enable
1072
      wren_i      => io_wren,     -- write enable
1073
      data_i      => p_bus.wdata, -- data in
1074
      data_o      => pwm_rdata,   -- data out
1075
      ack_o       => pwm_ack,     -- transfer acknowledge
1076 2 zero_gravi
      -- clock generator --
1077 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
1078 2 zero_gravi
      clkgen_i    => clk_gen,
1079
      -- pwm output channels --
1080
      pwm_o       => pwm_o
1081
    );
1082
  end generate;
1083
 
1084
  neorv32_pwm_inst_false:
1085 44 zero_gravi
  if (IO_PWM_EN = false) generate
1086 2 zero_gravi
    pwm_rdata <= (others => '0');
1087
    pwm_ack   <= '0';
1088
    pwm_cg_en <= '0';
1089
    pwm_o     <= (others => '0');
1090
  end generate;
1091
 
1092
 
1093 49 zero_gravi
  -- Numerically-Controlled Oscillator (NCO) ------------------------------------------------
1094
  -- -------------------------------------------------------------------------------------------
1095
  neorv32_nco_inst_true:
1096
  if (IO_NCO_EN = true) generate
1097
    neorv32_nco_inst: neorv32_nco
1098
    port map (
1099
      -- host access --
1100
      clk_i       => clk_i,       -- global clock line
1101
      addr_i      => p_bus.addr,  -- address
1102
      rden_i      => io_rden,     -- read enable
1103
      wren_i      => io_wren,     -- write enable
1104
      data_i      => p_bus.wdata, -- data in
1105
      data_o      => nco_rdata,   -- data out
1106
      ack_o       => nco_ack,     -- transfer acknowledge
1107
      -- clock generator --
1108
      clkgen_en_o => nco_cg_en,   -- enable clock generator
1109
      clkgen_i    => clk_gen,
1110
      -- NCO output --
1111
      nco_o       => nco_o
1112
    );
1113
  end generate;
1114
 
1115
  neorv32_nco_inst_false:
1116
  if (IO_NCO_EN = false) generate
1117
    nco_rdata <= (others => '0');
1118
    nco_ack   <= '0';
1119
    nco_cg_en <= '0';
1120
    nco_o     <= (others => '0');
1121
  end generate;
1122
 
1123
 
1124 2 zero_gravi
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1125
  -- -------------------------------------------------------------------------------------------
1126
  neorv32_trng_inst_true:
1127 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1128 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1129
    port map (
1130
      -- host access --
1131 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
1132
      addr_i => p_bus.addr,  -- address
1133
      rden_i => io_rden,     -- read enable
1134
      wren_i => io_wren,     -- write enable
1135
      data_i => p_bus.wdata, -- data in
1136
      data_o => trng_rdata,  -- data out
1137
      ack_o  => trng_ack     -- transfer acknowledge
1138 2 zero_gravi
    );
1139
  end generate;
1140
 
1141
  neorv32_trng_inst_false:
1142 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1143 2 zero_gravi
    trng_rdata <= (others => '0');
1144
    trng_ack   <= '0';
1145
  end generate;
1146
 
1147
 
1148 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1149
  -- -------------------------------------------------------------------------------------------
1150
  neorv32_sysinfo_inst: neorv32_sysinfo
1151
  generic map (
1152
    -- General --
1153 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1154
    BOOTLOADER_EN        => BOOTLOADER_EN,        -- implement processor-internal bootloader?
1155
    USER_CODE            => USER_CODE,            -- custom user code
1156 23 zero_gravi
    -- internal Instruction memory --
1157 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1158
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1159
    MEM_INT_IMEM_ROM     => MEM_INT_IMEM_ROM,     -- implement processor-internal instruction memory as ROM
1160 23 zero_gravi
    -- Internal Data memory --
1161 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1162
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1163 41 zero_gravi
    -- Internal Cache memory --
1164 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1165
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1166
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1167
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1168 23 zero_gravi
    -- External memory interface --
1169 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1170 12 zero_gravi
    -- Processor peripherals --
1171 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1172
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1173 50 zero_gravi
    IO_UART0_EN          => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1174
    IO_UART1_EN          => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1175 45 zero_gravi
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1176
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1177
    IO_PWM_EN            => IO_PWM_EN,            -- implement pulse-width modulation unit (PWM)?
1178
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1179
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1180 49 zero_gravi
    IO_CFS_EN            => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1181
    IO_NCO_EN            => IO_NCO_EN             -- implement numerically-controlled oscillator (NCO)?
1182 12 zero_gravi
  )
1183
  port map (
1184
    -- host access --
1185
    clk_i  => clk_i,         -- global clock line
1186
    addr_i => p_bus.addr,    -- address
1187
    rden_i => io_rden,       -- read enable
1188
    data_o => sysinfo_rdata, -- data out
1189
    ack_o  => sysinfo_ack    -- transfer acknowledge
1190
  );
1191
 
1192
 
1193 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.