OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 52

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 23 zero_gravi
-- # Check the processor's data sheet for more information: docs/NEORV32.pdf                       #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 44 zero_gravi
    BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
55 50 zero_gravi
 
56 2 zero_gravi
    -- RISC-V CPU Extensions --
57 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
59 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
60 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
61 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
62 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
63 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
64 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
65 50 zero_gravi
 
66 19 zero_gravi
    -- Extension Options --
67 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
68 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
69 50 zero_gravi
 
70 15 zero_gravi
    -- Physical Memory Protection (PMP) --
71 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
72
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
73 50 zero_gravi
 
74 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
75 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
76 50 zero_gravi
 
77 23 zero_gravi
    -- Internal Instruction memory --
78 44 zero_gravi
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
79 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
80
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
81 50 zero_gravi
 
82 23 zero_gravi
    -- Internal Data memory --
83 44 zero_gravi
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
84 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
85 50 zero_gravi
 
86 41 zero_gravi
    -- Internal Cache memory --
87 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
88 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
89
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
90 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
91 50 zero_gravi
 
92 23 zero_gravi
    -- External memory interface --
93 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
94 50 zero_gravi
 
95 2 zero_gravi
    -- Processor peripherals --
96 44 zero_gravi
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
97
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
98 50 zero_gravi
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
99
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
100 44 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
101
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
102
    IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
103
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
104
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
105 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
106 52 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
107
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
108
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
109
    IO_NCO_EN                    : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
110
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
111 2 zero_gravi
  );
112
  port (
113
    -- Global control --
114 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
115
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
116 50 zero_gravi
 
117 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
118 36 zero_gravi
    wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
119 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
120
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
121
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
122
    wb_we_o     : out std_ulogic; -- read/write
123
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
124
    wb_stb_o    : out std_ulogic; -- strobe
125
    wb_cyc_o    : out std_ulogic; -- valid cycle
126 39 zero_gravi
    wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
127 34 zero_gravi
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
128
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
129 50 zero_gravi
 
130 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
131 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
132
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
133 50 zero_gravi
 
134 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
135 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
136
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
137 50 zero_gravi
 
138
    -- primary UART0 (available if IO_UART0_EN = true) --
139
    uart0_txd_o : out std_ulogic; -- UART0 send data
140
    uart0_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
141 51 zero_gravi
    uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
142
    uart0_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
143 50 zero_gravi
 
144
    -- secondary UART1 (available if IO_UART1_EN = true) --
145
    uart1_txd_o : out std_ulogic; -- UART1 send data
146
    uart1_rxd_i : in  std_ulogic := '0'; -- UART1 receive data
147 51 zero_gravi
    uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
148
    uart1_cts_i : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
149 50 zero_gravi
 
150 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
151 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
152
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
153
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
154 50 zero_gravi
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- chip-select
155
 
156 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
157 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
158
    twi_scl_io  : inout std_logic; -- twi serial clock line
159 50 zero_gravi
 
160 44 zero_gravi
    -- PWM (available if IO_PWM_EN = true) --
161 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
162 50 zero_gravi
 
163 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
164 52 zero_gravi
    cfs_in_i    : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom CFS inputs conduit
165
    cfs_out_o   : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
166 50 zero_gravi
 
167 49 zero_gravi
    -- NCO output (available if IO_NCO_EN = true) --
168
    nco_o       : out std_ulogic_vector(02 downto 0); -- numerically-controlled oscillator channels
169 50 zero_gravi
 
170 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
171
    neoled_o    : out std_ulogic; -- async serial data line
172
 
173 44 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_EN = false) --
174 40 zero_gravi
    mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
175 50 zero_gravi
 
176 14 zero_gravi
    -- Interrupts --
177 50 zero_gravi
    soc_firq_i  : in  std_ulogic_vector(5 downto 0) := (others => '0'); -- fast interrupt channels
178 44 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
179 34 zero_gravi
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
180
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
181 2 zero_gravi
  );
182
end neorv32_top;
183
 
184
architecture neorv32_top_rtl of neorv32_top is
185
 
186 12 zero_gravi
  -- CPU boot address --
187 44 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
188 12 zero_gravi
 
189 41 zero_gravi
  -- Bus timeout --
190
  constant bus_timeout_temp_c : natural := 2**index_size_f(bus_timeout_c); -- round to next power-of-two
191 44 zero_gravi
  constant bus_timeout_proc_c : natural := cond_sel_natural_f(ICACHE_EN, ((ICACHE_BLOCK_SIZE/4)*bus_timeout_temp_c)-1, bus_timeout_c);
192 41 zero_gravi
 
193 29 zero_gravi
  -- alignment check for internal memories --
194
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
195
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
196
 
197 2 zero_gravi
  -- reset generator --
198
  signal rstn_i_sync0 : std_ulogic;
199
  signal rstn_i_sync1 : std_ulogic;
200
  signal rstn_i_sync2 : std_ulogic;
201
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
202
  signal ext_rstn     : std_ulogic;
203
  signal sys_rstn     : std_ulogic;
204
  signal wdt_rstn     : std_ulogic;
205
 
206
  -- clock generator --
207
  signal clk_div    : std_ulogic_vector(11 downto 0);
208
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
209
  signal clk_gen    : std_ulogic_vector(07 downto 0);
210 52 zero_gravi
  signal clk_gen_en : std_ulogic_vector(08 downto 0);
211 47 zero_gravi
  --
212 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
213
  signal uart0_cg_en  : std_ulogic;
214
  signal uart1_cg_en  : std_ulogic;
215
  signal spi_cg_en    : std_ulogic;
216
  signal twi_cg_en    : std_ulogic;
217
  signal pwm_cg_en    : std_ulogic;
218
  signal cfs_cg_en    : std_ulogic;
219
  signal nco_cg_en    : std_ulogic;
220
  signal neoled_cg_en : std_ulogic;
221 2 zero_gravi
 
222 12 zero_gravi
  -- bus interface --
223
  type bus_interface_t is record
224 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
225
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
226
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
227
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
228
    we     : std_ulogic; -- write enable
229
    re     : std_ulogic; -- read enable
230
    cancel : std_ulogic; -- cancel current transfer
231
    ack    : std_ulogic; -- bus transfer acknowledge
232
    err    : std_ulogic; -- bus transfer error
233 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
234 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
235 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
236 39 zero_gravi
    lock   : std_ulogic; -- locked/exclusive (=atomic) access
237 11 zero_gravi
  end record;
238 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
239 2 zero_gravi
 
240
  -- io space access --
241
  signal io_acc  : std_ulogic;
242
  signal io_rden : std_ulogic;
243
  signal io_wren : std_ulogic;
244
 
245
  -- read-back busses -
246
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
247
  signal imem_ack       : std_ulogic;
248
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
249
  signal dmem_ack       : std_ulogic;
250
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
251
  signal bootrom_ack    : std_ulogic;
252
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
253
  signal wishbone_ack   : std_ulogic;
254
  signal wishbone_err   : std_ulogic;
255
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
256
  signal gpio_ack       : std_ulogic;
257
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
258
  signal mtime_ack      : std_ulogic;
259 50 zero_gravi
  signal uart0_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
260
  signal uart0_ack      : std_ulogic;
261
  signal uart1_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
262
  signal uart1_ack      : std_ulogic;
263 2 zero_gravi
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
264
  signal spi_ack        : std_ulogic;
265
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
266
  signal twi_ack        : std_ulogic;
267
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
268
  signal pwm_ack        : std_ulogic;
269
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
270
  signal wdt_ack        : std_ulogic;
271
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
272
  signal trng_ack       : std_ulogic;
273 47 zero_gravi
  signal cfs_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
274
  signal cfs_ack        : std_ulogic;
275 49 zero_gravi
  signal nco_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
276
  signal nco_ack        : std_ulogic;
277 52 zero_gravi
  signal neoled_rdata   : std_ulogic_vector(data_width_c-1 downto 0);
278
  signal neoled_ack     : std_ulogic;
279 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
280
  signal sysinfo_ack    : std_ulogic;
281 2 zero_gravi
 
282
  -- IRQs --
283 48 zero_gravi
  signal mtime_irq    : std_ulogic;
284 47 zero_gravi
  --
285 48 zero_gravi
  signal fast_irq     : std_ulogic_vector(15 downto 0);
286
  signal fast_irq_ack : std_ulogic_vector(15 downto 0);
287
  --
288 50 zero_gravi
  signal gpio_irq      : std_ulogic;
289
  signal wdt_irq       : std_ulogic;
290
  signal uart0_rxd_irq : std_ulogic;
291
  signal uart0_txd_irq : std_ulogic;
292
  signal uart1_rxd_irq : std_ulogic;
293
  signal uart1_txd_irq : std_ulogic;
294
  signal spi_irq       : std_ulogic;
295
  signal twi_irq       : std_ulogic;
296
  signal cfs_irq       : std_ulogic;
297
  signal cfs_irq_ack   : std_ulogic;
298 52 zero_gravi
  signal neoled_irq    : std_ulogic;
299 2 zero_gravi
 
300 11 zero_gravi
  -- misc --
301
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
302 47 zero_gravi
  signal cpu_sleep  : std_ulogic; -- CPU is in sleep mode when set
303 11 zero_gravi
 
304 2 zero_gravi
begin
305
 
306
  -- Sanity Checks --------------------------------------------------------------------------
307
  -- -------------------------------------------------------------------------------------------
308 36 zero_gravi
  -- clock --
309
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
310 23 zero_gravi
  -- internal bootloader ROM --
311 44 zero_gravi
  assert not ((BOOTLOADER_EN = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
312
  assert not ((BOOTLOADER_EN = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
313 23 zero_gravi
  -- memory system - data/instruction fetch --
314 44 zero_gravi
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
315
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
316 36 zero_gravi
  -- memory system - size --
317 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
318
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
319 29 zero_gravi
  -- memory system - alignment --
320
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
321
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
322 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
323
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
324 36 zero_gravi
  -- memory system - layout warning --
325 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
326
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
327 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
328 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
329 41 zero_gravi
  -- memory system - cached instruction fetch latency check --
330 44 zero_gravi
  assert not (ICACHE_EN = true) report "NEORV32 PROCESSOR CONFIG WARNING! Implementing i-cache. Increasing bus access timeout from " & integer'image(bus_timeout_c) & " cycles to " & integer'image(bus_timeout_proc_c) & " cycles." severity warning;
331 2 zero_gravi
 
332
 
333
  -- Reset Generator ------------------------------------------------------------------------
334
  -- -------------------------------------------------------------------------------------------
335
  reset_generator_sync: process(clk_i)
336
  begin
337
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
338
    if rising_edge(clk_i) then
339
      rstn_i_sync0 <= rstn_i;
340
      rstn_i_sync1 <= rstn_i_sync0;
341
      rstn_i_sync2 <= rstn_i_sync1;
342
    end if;
343
  end process reset_generator_sync;
344
 
345
  -- keep internal reset active for at least 4 clock cycles
346
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
347
  begin
348 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
349 2 zero_gravi
      rstn_gen <= (others => '0');
350
    elsif rising_edge(clk_i) then
351
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
352
    end if;
353
  end process reset_generator;
354
 
355
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
356 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
357 2 zero_gravi
 
358
 
359
  -- Clock Generator ------------------------------------------------------------------------
360
  -- -------------------------------------------------------------------------------------------
361
  clock_generator: process(sys_rstn, clk_i)
362
  begin
363
    if (sys_rstn = '0') then
364
      clk_div    <= (others => '0');
365
      clk_div_ff <= (others => '0');
366 50 zero_gravi
      clk_gen_en <= (others => '0');
367 2 zero_gravi
    elsif rising_edge(clk_i) then
368 23 zero_gravi
      -- fresh clocks anyone? --
369 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
370
      clk_gen_en(1) <= uart0_cg_en;
371
      clk_gen_en(2) <= uart1_cg_en;
372
      clk_gen_en(3) <= spi_cg_en;
373
      clk_gen_en(4) <= twi_cg_en;
374
      clk_gen_en(5) <= pwm_cg_en;
375
      clk_gen_en(6) <= cfs_cg_en;
376
      clk_gen_en(7) <= nco_cg_en;
377 52 zero_gravi
      clk_gen_en(8) <= neoled_cg_en;
378 50 zero_gravi
      if (or_all_f(clk_gen_en) = '1') then
379 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
380 2 zero_gravi
      end if;
381 23 zero_gravi
      clk_div_ff <= clk_div;
382 2 zero_gravi
    end if;
383
  end process clock_generator;
384
 
385 23 zero_gravi
  -- clock enables: rising edge detectors --
386
  clock_generator_edge: process(clk_i)
387
  begin
388
    if rising_edge(clk_i) then
389
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
390
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
391
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
392
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
393
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
394
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
395
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
396
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
397
    end if;
398
  end process clock_generator_edge;
399 2 zero_gravi
 
400
 
401 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
402 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
403
  neorv32_cpu_inst: neorv32_cpu
404
  generic map (
405
    -- General --
406 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
407
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
408
    BUS_TIMEOUT                  => bus_timeout_proc_c,  -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
409 2 zero_gravi
    -- RISC-V CPU Extensions --
410 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
411 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
412 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
413
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
414 52 zero_gravi
    CPU_EXTENSION_RISCV_F        => false,                        -- implement 32-bit floating-point extension?
415 8 zero_gravi
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
416 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
417 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
418
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
419 19 zero_gravi
    -- Extension Options --
420 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
421
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
422 15 zero_gravi
    -- Physical Memory Protection (PMP) --
423 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
424
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
425
    -- Hardware Performance Monitors (HPM) --
426 47 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS         -- number of implemented HPM counters (0..29)
427 2 zero_gravi
  )
428
  port map (
429
    -- global control --
430 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
431
    rstn_i         => sys_rstn,     -- global reset, low-active, async
432 47 zero_gravi
    sleep_o        => cpu_sleep,    -- cpu is in sleep mode when set
433 12 zero_gravi
    -- instruction bus interface --
434
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
435
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
436
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
437
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
438
    i_bus_we_o     => cpu_i.we,     -- write enable
439
    i_bus_re_o     => cpu_i.re,     -- read enable
440
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
441
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
442
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
443
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
444 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
445 39 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- locked/exclusive access
446 12 zero_gravi
    -- data bus interface --
447
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
448
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
449
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
450
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
451
    d_bus_we_o     => cpu_d.we,     -- write enable
452
    d_bus_re_o     => cpu_d.re,     -- read enable
453
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
454
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
455
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
456
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
457 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
458 39 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- locked/exclusive access
459 11 zero_gravi
    -- system time input from MTIME --
460 12 zero_gravi
    time_i         => mtime_time,   -- current system time
461 14 zero_gravi
    -- interrupts (risc-v compliant) --
462
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
463
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
464
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
465
    -- fast interrupts (custom) --
466 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
467
    firq_ack_o     => fast_irq_ack  -- fast interrupt acknowledge mask
468 2 zero_gravi
  );
469
 
470 36 zero_gravi
  -- misc --
471 40 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
472
  cpu_d.src <= '0'; -- initialized but unused
473 36 zero_gravi
 
474 14 zero_gravi
  -- advanced memory control --
475
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
476
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
477 2 zero_gravi
 
478 47 zero_gravi
  -- fast interrupts - processor-internal --
479 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
480
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
481
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) data received
482
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) sending done
483
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) data received
484
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) sending done
485
  fast_irq(06) <= spi_irq;       -- SPI transmission done
486
  fast_irq(07) <= twi_irq;       -- TWI transmission done
487
  fast_irq(08) <= gpio_irq;      -- GPIO pin-change
488 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
489 14 zero_gravi
 
490 48 zero_gravi
  -- fast interrupts - platform level (for custom use) --
491 50 zero_gravi
  fast_irq(10) <= soc_firq_i(0);
492
  fast_irq(11) <= soc_firq_i(1);
493
  fast_irq(12) <= soc_firq_i(2);
494
  fast_irq(13) <= soc_firq_i(3);
495
  fast_irq(14) <= soc_firq_i(4);
496
  fast_irq(15) <= soc_firq_i(5);
497 14 zero_gravi
 
498 51 zero_gravi
  -- CFS IRQ acknowledge --
499
  cfs_irq_ack <= fast_irq_ack(1);
500 48 zero_gravi
 
501
 
502 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
503
  -- -------------------------------------------------------------------------------------------
504
  neorv32_icache_inst_true:
505 44 zero_gravi
  if (ICACHE_EN = true) generate
506 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
507 41 zero_gravi
    generic map (
508 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
509
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
510
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
511 41 zero_gravi
    )
512
    port map (
513
      -- global control --
514
      clk_i         => clk_i,          -- global clock, rising edge
515
      rstn_i        => sys_rstn,       -- global reset, low-active, async
516
      clear_i       => cpu_i.fence,    -- cache clear
517
      -- host controller interface --
518
      host_addr_i   => cpu_i.addr,     -- bus access address
519
      host_rdata_o  => cpu_i.rdata,    -- bus read data
520
      host_wdata_i  => cpu_i.wdata,    -- bus write data
521
      host_ben_i    => cpu_i.ben,      -- byte enable
522
      host_we_i     => cpu_i.we,       -- write enable
523
      host_re_i     => cpu_i.re,       -- read enable
524
      host_cancel_i => cpu_i.cancel,   -- cancel current bus transaction
525
      host_lock_i   => cpu_i.lock,     -- locked/exclusive access
526
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
527
      host_err_o    => cpu_i.err,      -- bus transfer error
528
      -- peripheral bus interface --
529
      bus_addr_o    => i_cache.addr,   -- bus access address
530
      bus_rdata_i   => i_cache.rdata,  -- bus read data
531
      bus_wdata_o   => i_cache.wdata,  -- bus write data
532
      bus_ben_o     => i_cache.ben,    -- byte enable
533
      bus_we_o      => i_cache.we,     -- write enable
534
      bus_re_o      => i_cache.re,     -- read enable
535
      bus_cancel_o  => i_cache.cancel, -- cancel current bus transaction
536
      bus_lock_o    => i_cache.lock,   -- locked/exclusive access
537
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
538
      bus_err_i     => i_cache.err     -- bus transfer error
539
    );
540
  end generate;
541
 
542
  neorv32_icache_inst_false:
543 44 zero_gravi
  if (ICACHE_EN = false) generate
544 41 zero_gravi
    i_cache.addr   <= cpu_i.addr;
545
    cpu_i.rdata    <= i_cache.rdata;
546
    i_cache.wdata  <= cpu_i.wdata;
547
    i_cache.ben    <= cpu_i.ben;
548
    i_cache.we     <= cpu_i.we;
549
    i_cache.re     <= cpu_i.re;
550
    i_cache.cancel <= cpu_i.cancel;
551
    i_cache.lock   <= cpu_i.lock;
552
    cpu_i.ack      <= i_cache.ack;
553
    cpu_i.err      <= i_cache.err;
554
  end generate;
555
 
556
 
557 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
558 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
559
  neorv32_busswitch_inst: neorv32_busswitch
560
  generic map (
561
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
562
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
563
  )
564
  port map (
565
    -- global control --
566 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
567
    rstn_i          => sys_rstn,       -- global reset, low-active, async
568 12 zero_gravi
    -- controller interface a --
569 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
570
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
571
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
572
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
573
    ca_bus_we_i     => cpu_d.we,       -- write enable
574
    ca_bus_re_i     => cpu_d.re,       -- read enable
575
    ca_bus_cancel_i => cpu_d.cancel,   -- cancel current bus transaction
576
    ca_bus_lock_i   => cpu_d.lock,     -- locked/exclusive access
577
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
578
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
579 12 zero_gravi
    -- controller interface b --
580 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
581
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
582
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
583
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
584
    cb_bus_we_i     => i_cache.we,     -- write enable
585
    cb_bus_re_i     => i_cache.re,     -- read enable
586
    cb_bus_cancel_i => i_cache.cancel, -- cancel current bus transaction
587
    cb_bus_lock_i   => i_cache.lock,   -- locked/exclusive access
588
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
589
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
590 12 zero_gravi
    -- peripheral bus --
591 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
592
    p_bus_addr_o    => p_bus.addr,     -- bus access address
593
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
594
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
595
    p_bus_ben_o     => p_bus.ben,      -- byte enable
596
    p_bus_we_o      => p_bus.we,       -- write enable
597
    p_bus_re_o      => p_bus.re,       -- read enable
598
    p_bus_cancel_o  => p_bus.cancel,   -- cancel current bus transaction
599
    p_bus_lock_o    => p_bus.lock,     -- locked/exclusive access
600
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
601
    p_bus_err_i     => p_bus.err       -- bus transfer error
602 12 zero_gravi
  );
603 2 zero_gravi
 
604 49 zero_gravi
  -- processor bus: CPU transfer data input --
605 50 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart0_rdata or uart1_rdata or
606 52 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfs_rdata or nco_rdata or neoled_rdata or  sysinfo_rdata);
607 2 zero_gravi
 
608 49 zero_gravi
  -- processor bus: CPU transfer ACK input --
609 50 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart0_ack or uart1_ack or
610 52 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfs_ack or nco_ack or neoled_ack or sysinfo_ack);
611 12 zero_gravi
 
612 49 zero_gravi
  -- processor bus: CPU transfer data bus error input --
613 50 zero_gravi
  p_bus.err <= wishbone_err;
614 12 zero_gravi
 
615 36 zero_gravi
  -- current CPU privilege level --
616
  p_bus.priv <= cpu_i.priv; -- cpu_i.priv == cpu_d.priv
617 12 zero_gravi
 
618 36 zero_gravi
 
619 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
620
  -- -------------------------------------------------------------------------------------------
621
  neorv32_int_imem_inst_true:
622 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
623 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
624
    generic map (
625 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
626 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
627
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
628 45 zero_gravi
      BOOTLOADER_EN  => BOOTLOADER_EN      -- implement and use bootloader?
629 2 zero_gravi
    )
630
    port map (
631 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
632
      rden_i => p_bus.re,    -- read enable
633
      wren_i => p_bus.we,    -- write enable
634
      ben_i  => p_bus.ben,   -- byte write enable
635
      addr_i => p_bus.addr,  -- address
636
      data_i => p_bus.wdata, -- data in
637
      data_o => imem_rdata,  -- data out
638
      ack_o  => imem_ack     -- transfer acknowledge
639 2 zero_gravi
    );
640
  end generate;
641
 
642
  neorv32_int_imem_inst_false:
643 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
644 2 zero_gravi
    imem_rdata <= (others => '0');
645
    imem_ack   <= '0';
646
  end generate;
647
 
648
 
649
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
650
  -- -------------------------------------------------------------------------------------------
651
  neorv32_int_dmem_inst_true:
652 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
653 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
654
    generic map (
655 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
656 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
657
    )
658
    port map (
659 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
660
      rden_i => p_bus.re,    -- read enable
661
      wren_i => p_bus.we,    -- write enable
662
      ben_i  => p_bus.ben,   -- byte write enable
663
      addr_i => p_bus.addr,  -- address
664
      data_i => p_bus.wdata, -- data in
665
      data_o => dmem_rdata,  -- data out
666
      ack_o  => dmem_ack     -- transfer acknowledge
667 2 zero_gravi
    );
668
  end generate;
669
 
670
  neorv32_int_dmem_inst_false:
671 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
672 2 zero_gravi
    dmem_rdata <= (others => '0');
673
    dmem_ack   <= '0';
674
  end generate;
675
 
676
 
677
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
678
  -- -------------------------------------------------------------------------------------------
679
  neorv32_boot_rom_inst_true:
680 44 zero_gravi
  if (BOOTLOADER_EN = true) generate
681 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
682 23 zero_gravi
    generic map (
683
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
684
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
685
    )
686 2 zero_gravi
    port map (
687
      clk_i  => clk_i,         -- global clock line
688 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
689
      addr_i => p_bus.addr,    -- address
690 2 zero_gravi
      data_o => bootrom_rdata, -- data out
691
      ack_o  => bootrom_ack    -- transfer acknowledge
692
    );
693
  end generate;
694
 
695
  neorv32_boot_rom_inst_false:
696 44 zero_gravi
  if (BOOTLOADER_EN = false) generate
697 2 zero_gravi
    bootrom_rdata <= (others => '0');
698
    bootrom_ack   <= '0';
699
  end generate;
700
 
701
 
702
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
703
  -- -------------------------------------------------------------------------------------------
704
  neorv32_wishbone_inst_true:
705 44 zero_gravi
  if (MEM_EXT_EN = true) generate
706 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
707
    generic map (
708 45 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,    -- false: classic/standard wishbone mode, true: pipelined wishbone mode
709 23 zero_gravi
      -- Internal instruction memory --
710 45 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
711
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
712 23 zero_gravi
      -- Internal data memory --
713 45 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
714
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
715 2 zero_gravi
    )
716
    port map (
717
      -- global control --
718 39 zero_gravi
      clk_i     => clk_i,          -- global clock line
719
      rstn_i    => sys_rstn,       -- global reset line, low-active
720 2 zero_gravi
      -- host access --
721 39 zero_gravi
      src_i     => p_bus.src,      -- access type (0: data, 1:instruction)
722
      addr_i    => p_bus.addr,     -- address
723
      rden_i    => p_bus.re,       -- read enable
724
      wren_i    => p_bus.we,       -- write enable
725
      ben_i     => p_bus.ben,      -- byte write enable
726
      data_i    => p_bus.wdata,    -- data in
727
      data_o    => wishbone_rdata, -- data out
728
      cancel_i  => p_bus.cancel,   -- cancel current transaction
729
      lock_i    => p_bus.lock,     -- locked/exclusive bus access
730
      ack_o     => wishbone_ack,   -- transfer acknowledge
731
      err_o     => wishbone_err,   -- transfer error
732
      priv_i    => p_bus.priv,     -- current CPU privilege level
733 2 zero_gravi
      -- wishbone interface --
734 39 zero_gravi
      wb_tag_o  => wb_tag_o,       -- tag
735
      wb_adr_o  => wb_adr_o,       -- address
736
      wb_dat_i  => wb_dat_i,       -- read data
737
      wb_dat_o  => wb_dat_o,       -- write data
738
      wb_we_o   => wb_we_o,        -- read/write
739
      wb_sel_o  => wb_sel_o,       -- byte enable
740
      wb_stb_o  => wb_stb_o,       -- strobe
741
      wb_cyc_o  => wb_cyc_o,       -- valid cycle
742
      wb_lock_o => wb_lock_o,      -- locked/exclusive bus access
743
      wb_ack_i  => wb_ack_i,       -- transfer acknowledge
744
      wb_err_i  => wb_err_i        -- transfer error
745 2 zero_gravi
    );
746
  end generate;
747
 
748
  neorv32_wishbone_inst_false:
749 44 zero_gravi
  if (MEM_EXT_EN = false) generate
750 2 zero_gravi
    wishbone_rdata <= (others => '0');
751
    wishbone_ack   <= '0';
752
    wishbone_err   <= '0';
753
    --
754 39 zero_gravi
    wb_adr_o  <= (others => '0');
755
    wb_dat_o  <= (others => '0');
756
    wb_we_o   <= '0';
757
    wb_sel_o  <= (others => '0');
758
    wb_stb_o  <= '0';
759
    wb_cyc_o  <= '0';
760
    wb_lock_o <= '0';
761
    wb_tag_o  <= (others => '0');
762 2 zero_gravi
  end generate;
763
 
764
 
765
  -- IO Access? -----------------------------------------------------------------------------
766
  -- -------------------------------------------------------------------------------------------
767 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
768 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
769 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
770
  io_wren <= io_acc and p_bus.we and and_all_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
771 2 zero_gravi
 
772
 
773 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
774
  -- -------------------------------------------------------------------------------------------
775
  neorv32_cfs_inst_true:
776
  if (IO_CFS_EN = true) generate
777
    neorv32_cfs_inst: neorv32_cfs
778
    generic map (
779 52 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic 
780
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
781
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
782 47 zero_gravi
    )
783
    port map (
784
      -- host access --
785
      clk_i       => clk_i,           -- global clock line
786
      rstn_i      => sys_rstn,        -- global reset line, low-active, use as async
787
      addr_i      => p_bus.addr,      -- address
788
      rden_i      => io_rden,         -- read enable
789
      wren_i      => io_wren,         -- byte write enable
790
      data_i      => p_bus.wdata,     -- data in
791
      data_o      => cfs_rdata,       -- data out
792
      ack_o       => cfs_ack,         -- transfer acknowledge
793
      -- clock generator --
794
      clkgen_en_o => cfs_cg_en,       -- enable clock generator
795
      clkgen_i    => clk_gen,         -- "clock" inputs
796
      -- CPU state --
797
      sleep_i     => cpu_sleep,       -- set if cpu is in sleep mode
798
      -- interrupt --
799
      irq_o       => cfs_irq,         -- interrupt request
800 48 zero_gravi
      irq_ack_i   => cfs_irq_ack,     -- interrupt acknowledge
801 47 zero_gravi
      -- custom io (conduit) --
802
      cfs_in_i    => cfs_in_i,        -- custom inputs
803
      cfs_out_o   => cfs_out_o        -- custom outputs
804
    );
805
  end generate;
806
 
807
  neorv32_cfs_inst_false:
808
  if (IO_CFS_EN = false) generate
809
    cfs_rdata <= (others => '0');
810
    cfs_ack   <= '0';
811
    cfs_cg_en <= '0';
812
    cfs_irq   <= '0';
813
    cfs_out_o <= (others => '0');
814
  end generate;
815
 
816
 
817 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
818
  -- -------------------------------------------------------------------------------------------
819
  neorv32_gpio_inst_true:
820 44 zero_gravi
  if (IO_GPIO_EN = true) generate
821 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
822
    port map (
823
      -- host access --
824 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
825
      addr_i => p_bus.addr,  -- address
826
      rden_i => io_rden,     -- read enable
827
      wren_i => io_wren,     -- write enable
828
      data_i => p_bus.wdata, -- data in
829
      data_o => gpio_rdata,  -- data out
830
      ack_o  => gpio_ack,    -- transfer acknowledge
831 2 zero_gravi
      -- parallel io --
832
      gpio_o => gpio_o,
833
      gpio_i => gpio_i,
834
      -- interrupt --
835 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
836 2 zero_gravi
    );
837
  end generate;
838
 
839
  neorv32_gpio_inst_false:
840 44 zero_gravi
  if (IO_GPIO_EN = false) generate
841 2 zero_gravi
    gpio_rdata <= (others => '0');
842
    gpio_ack   <= '0';
843
    gpio_o     <= (others => '0');
844
    gpio_irq   <= '0';
845
  end generate;
846
 
847
 
848
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
849
  -- -------------------------------------------------------------------------------------------
850
  neorv32_wdt_inst_true:
851 44 zero_gravi
  if (IO_WDT_EN = true) generate
852 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
853
    port map (
854
      -- host access --
855 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
856
      rstn_i      => ext_rstn,    -- global reset line, low-active
857
      rden_i      => io_rden,     -- read enable
858
      wren_i      => io_wren,     -- write enable
859
      addr_i      => p_bus.addr,  -- address
860
      data_i      => p_bus.wdata, -- data in
861
      data_o      => wdt_rdata,   -- data out
862
      ack_o       => wdt_ack,     -- transfer acknowledge
863 2 zero_gravi
      -- clock generator --
864 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
865 2 zero_gravi
      clkgen_i    => clk_gen,
866
      -- timeout event --
867 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
868
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
869 2 zero_gravi
    );
870
  end generate;
871
 
872
  neorv32_wdt_inst_false:
873 44 zero_gravi
  if (IO_WDT_EN = false) generate
874 2 zero_gravi
    wdt_rdata <= (others => '0');
875
    wdt_ack   <= '0';
876
    wdt_irq   <= '0';
877
    wdt_rstn  <= '1';
878
    wdt_cg_en <= '0';
879
  end generate;
880
 
881
 
882
  -- Machine System Timer (MTIME) -----------------------------------------------------------
883
  -- -------------------------------------------------------------------------------------------
884
  neorv32_mtime_inst_true:
885 44 zero_gravi
  if (IO_MTIME_EN = true) generate
886 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
887
    port map (
888
      -- host access --
889 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
890
      rstn_i    => sys_rstn,    -- global reset, low-active, async
891
      addr_i    => p_bus.addr,  -- address
892
      rden_i    => io_rden,     -- read enable
893
      wren_i    => io_wren,     -- write enable
894
      data_i    => p_bus.wdata, -- data in
895
      data_o    => mtime_rdata, -- data out
896
      ack_o     => mtime_ack,   -- transfer acknowledge
897 11 zero_gravi
      -- time output for CPU --
898 12 zero_gravi
      time_o    => mtime_time,  -- current system time
899 2 zero_gravi
      -- interrupt --
900 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
901 2 zero_gravi
    );
902
  end generate;
903
 
904
  neorv32_mtime_inst_false:
905 44 zero_gravi
  if (IO_MTIME_EN = false) generate
906 2 zero_gravi
    mtime_rdata <= (others => '0');
907 40 zero_gravi
    mtime_time  <= mtime_i; -- use external machine timer time signal
908 2 zero_gravi
    mtime_ack   <= '0';
909 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
910 2 zero_gravi
  end generate;
911
 
912
 
913 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
914 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
915 50 zero_gravi
  neorv32_uart0_inst_true:
916
  if (IO_UART0_EN = true) generate
917
    neorv32_uart0_inst: neorv32_uart
918
    generic map (
919
      UART_PRIMARY => true -- true = primary UART (UART0), false = secondary UART (UART1)
920
    )
921 2 zero_gravi
    port map (
922
      -- host access --
923 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
924
      addr_i      => p_bus.addr,    -- address
925
      rden_i      => io_rden,       -- read enable
926
      wren_i      => io_wren,       -- write enable
927
      data_i      => p_bus.wdata,   -- data in
928
      data_o      => uart0_rdata,   -- data out
929
      ack_o       => uart0_ack,     -- transfer acknowledge
930 2 zero_gravi
      -- clock generator --
931 51 zero_gravi
      clkgen_en_o => uart0_cg_en,   -- enable clock generator
932 2 zero_gravi
      clkgen_i    => clk_gen,
933
      -- com lines --
934 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
935
      uart_rxd_i  => uart0_rxd_i,
936 51 zero_gravi
      -- hardware flow control --
937
      uart_rts_o  => uart0_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
938
      uart_cts_i  => uart0_cts_i,   -- UART.TX allowed to transmit, low-active, optional
939 2 zero_gravi
      -- interrupts --
940 50 zero_gravi
      irq_rxd_o   => uart0_rxd_irq, -- uart data received interrupt
941
      irq_txd_o   => uart0_txd_irq  -- uart transmission done interrupt
942 2 zero_gravi
    );
943
  end generate;
944
 
945 50 zero_gravi
  neorv32_uart0_inst_false:
946
  if (IO_UART0_EN = false) generate
947
    uart0_rdata   <= (others => '0');
948
    uart0_ack     <= '0';
949
    uart0_txd_o   <= '0';
950 51 zero_gravi
    uart0_rts_o   <= '0';
951 50 zero_gravi
    uart0_cg_en   <= '0';
952
    uart0_rxd_irq <= '0';
953
    uart0_txd_irq <= '0';
954 2 zero_gravi
  end generate;
955
 
956
 
957 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
958 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
959
  neorv32_uart1_inst_true:
960
  if (IO_UART1_EN = true) generate
961
    neorv32_uart1_inst: neorv32_uart
962
    generic map (
963
      UART_PRIMARY => false -- true = primary UART (UART0), false = secondary UART (UART1)
964
    )
965
    port map (
966
      -- host access --
967 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
968
      addr_i      => p_bus.addr,    -- address
969
      rden_i      => io_rden,       -- read enable
970
      wren_i      => io_wren,       -- write enable
971
      data_i      => p_bus.wdata,   -- data in
972
      data_o      => uart1_rdata,   -- data out
973
      ack_o       => uart1_ack,     -- transfer acknowledge
974 50 zero_gravi
      -- clock generator --
975 51 zero_gravi
      clkgen_en_o => uart1_cg_en,   -- enable clock generator
976 50 zero_gravi
      clkgen_i    => clk_gen,
977
      -- com lines --
978
      uart_txd_o  => uart1_txd_o,
979
      uart_rxd_i  => uart1_rxd_i,
980 51 zero_gravi
      -- hardware flow control --
981
      uart_rts_o  => uart1_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
982
      uart_cts_i  => uart1_cts_i,   -- UART.TX allowed to transmit, low-active, optional
983 50 zero_gravi
      -- interrupts --
984
      irq_rxd_o   => uart1_rxd_irq, -- uart data received interrupt
985
      irq_txd_o   => uart1_txd_irq  -- uart transmission done interrupt
986
    );
987
  end generate;
988
 
989
  neorv32_uart1_inst_false:
990
  if (IO_UART1_EN = false) generate
991
    uart1_rdata   <= (others => '0');
992
    uart1_ack     <= '0';
993
    uart1_txd_o   <= '0';
994 51 zero_gravi
    uart1_rts_o   <= '0';
995 50 zero_gravi
    uart1_cg_en   <= '0';
996
    uart1_rxd_irq <= '0';
997
    uart1_txd_irq <= '0';
998
  end generate;
999
 
1000
 
1001 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1002
  -- -------------------------------------------------------------------------------------------
1003
  neorv32_spi_inst_true:
1004 44 zero_gravi
  if (IO_SPI_EN = true) generate
1005 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1006
    port map (
1007
      -- host access --
1008 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1009
      addr_i      => p_bus.addr,  -- address
1010
      rden_i      => io_rden,     -- read enable
1011
      wren_i      => io_wren,     -- write enable
1012
      data_i      => p_bus.wdata, -- data in
1013
      data_o      => spi_rdata,   -- data out
1014
      ack_o       => spi_ack,     -- transfer acknowledge
1015 2 zero_gravi
      -- clock generator --
1016 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
1017 2 zero_gravi
      clkgen_i    => clk_gen,
1018
      -- com lines --
1019 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
1020
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
1021
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
1022
      spi_csn_o   => spi_csn_o,   -- SPI CS
1023 2 zero_gravi
      -- interrupt --
1024 48 zero_gravi
      irq_o       => spi_irq      -- transmission done interrupt
1025 2 zero_gravi
    );
1026
  end generate;
1027
 
1028
  neorv32_spi_inst_false:
1029 44 zero_gravi
  if (IO_SPI_EN = false) generate
1030 2 zero_gravi
    spi_rdata  <= (others => '0');
1031
    spi_ack    <= '0';
1032 6 zero_gravi
    spi_sck_o  <= '0';
1033
    spi_sdo_o  <= '0';
1034 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
1035
    spi_cg_en  <= '0';
1036
    spi_irq    <= '0';
1037
  end generate;
1038
 
1039
 
1040
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1041
  -- -------------------------------------------------------------------------------------------
1042
  neorv32_twi_inst_true:
1043 44 zero_gravi
  if (IO_TWI_EN = true) generate
1044 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1045
    port map (
1046
      -- host access --
1047 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1048
      addr_i      => p_bus.addr,  -- address
1049
      rden_i      => io_rden,     -- read enable
1050
      wren_i      => io_wren,     -- write enable
1051
      data_i      => p_bus.wdata, -- data in
1052
      data_o      => twi_rdata,   -- data out
1053
      ack_o       => twi_ack,     -- transfer acknowledge
1054 2 zero_gravi
      -- clock generator --
1055 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
1056 2 zero_gravi
      clkgen_i    => clk_gen,
1057
      -- com lines --
1058 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
1059
      twi_scl_io  => twi_scl_io,  -- serial clock line
1060 2 zero_gravi
      -- interrupt --
1061 48 zero_gravi
      irq_o       => twi_irq      -- transfer done IRQ
1062 2 zero_gravi
    );
1063
  end generate;
1064
 
1065
  neorv32_twi_inst_false:
1066 44 zero_gravi
  if (IO_TWI_EN = false) generate
1067 2 zero_gravi
    twi_rdata  <= (others => '0');
1068
    twi_ack    <= '0';
1069 51 zero_gravi
--  twi_sda_io <= 'Z'; -- FIXME?
1070
--  twi_scl_io <= 'Z'; -- FIXME?
1071 2 zero_gravi
    twi_cg_en  <= '0';
1072
    twi_irq    <= '0';
1073
  end generate;
1074
 
1075
 
1076
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1077
  -- -------------------------------------------------------------------------------------------
1078
  neorv32_pwm_inst_true:
1079 44 zero_gravi
  if (IO_PWM_EN = true) generate
1080 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1081
    port map (
1082
      -- host access --
1083 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1084
      addr_i      => p_bus.addr,  -- address
1085
      rden_i      => io_rden,     -- read enable
1086
      wren_i      => io_wren,     -- write enable
1087
      data_i      => p_bus.wdata, -- data in
1088
      data_o      => pwm_rdata,   -- data out
1089
      ack_o       => pwm_ack,     -- transfer acknowledge
1090 2 zero_gravi
      -- clock generator --
1091 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
1092 2 zero_gravi
      clkgen_i    => clk_gen,
1093
      -- pwm output channels --
1094
      pwm_o       => pwm_o
1095
    );
1096
  end generate;
1097
 
1098
  neorv32_pwm_inst_false:
1099 44 zero_gravi
  if (IO_PWM_EN = false) generate
1100 2 zero_gravi
    pwm_rdata <= (others => '0');
1101
    pwm_ack   <= '0';
1102
    pwm_cg_en <= '0';
1103
    pwm_o     <= (others => '0');
1104
  end generate;
1105
 
1106
 
1107 49 zero_gravi
  -- Numerically-Controlled Oscillator (NCO) ------------------------------------------------
1108
  -- -------------------------------------------------------------------------------------------
1109
  neorv32_nco_inst_true:
1110
  if (IO_NCO_EN = true) generate
1111
    neorv32_nco_inst: neorv32_nco
1112
    port map (
1113
      -- host access --
1114
      clk_i       => clk_i,       -- global clock line
1115
      addr_i      => p_bus.addr,  -- address
1116
      rden_i      => io_rden,     -- read enable
1117
      wren_i      => io_wren,     -- write enable
1118
      data_i      => p_bus.wdata, -- data in
1119
      data_o      => nco_rdata,   -- data out
1120
      ack_o       => nco_ack,     -- transfer acknowledge
1121
      -- clock generator --
1122
      clkgen_en_o => nco_cg_en,   -- enable clock generator
1123
      clkgen_i    => clk_gen,
1124
      -- NCO output --
1125
      nco_o       => nco_o
1126
    );
1127
  end generate;
1128
 
1129
  neorv32_nco_inst_false:
1130
  if (IO_NCO_EN = false) generate
1131
    nco_rdata <= (others => '0');
1132
    nco_ack   <= '0';
1133
    nco_cg_en <= '0';
1134
    nco_o     <= (others => '0');
1135
  end generate;
1136
 
1137
 
1138 2 zero_gravi
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1139
  -- -------------------------------------------------------------------------------------------
1140
  neorv32_trng_inst_true:
1141 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1142 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1143
    port map (
1144
      -- host access --
1145 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
1146
      addr_i => p_bus.addr,  -- address
1147
      rden_i => io_rden,     -- read enable
1148
      wren_i => io_wren,     -- write enable
1149
      data_i => p_bus.wdata, -- data in
1150
      data_o => trng_rdata,  -- data out
1151
      ack_o  => trng_ack     -- transfer acknowledge
1152 2 zero_gravi
    );
1153
  end generate;
1154
 
1155
  neorv32_trng_inst_false:
1156 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1157 2 zero_gravi
    trng_rdata <= (others => '0');
1158
    trng_ack   <= '0';
1159
  end generate;
1160
 
1161
 
1162 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1163
  -- -------------------------------------------------------------------------------------------
1164
  neorv32_neoled_inst_true:
1165
  if (IO_NEOLED_EN = true) generate
1166
    neorv32_neoled_inst: neorv32_neoled
1167
    port map (
1168
      -- host access --
1169
      clk_i       => clk_i,        -- global clock line
1170
      addr_i      => p_bus.addr,   -- address
1171
      rden_i      => io_rden,      -- read enable
1172
      wren_i      => io_wren,      -- write enable
1173
      data_i      => p_bus.wdata,  -- data in
1174
      data_o      => neoled_rdata, -- data out
1175
      ack_o       => neoled_ack,   -- transfer acknowledge
1176
      -- clock generator --
1177
      clkgen_en_o => neoled_cg_en, -- enable clock generator
1178
      clkgen_i    => clk_gen,
1179
      -- interrupt --
1180
      irq_o       => neoled_irq,   -- interrupt request
1181
      -- NEOLED output --
1182
      neoled_o    => neoled_o      -- serial async data line
1183
    );
1184
  end generate;
1185
 
1186
  neorv32_neoled_inst_false:
1187
  if (IO_NEOLED_EN = false) generate
1188
    neoled_rdata <= (others => '0');
1189
    neoled_ack   <= '0';
1190
    neoled_cg_en <= '0';
1191
    neoled_irq   <= '0';
1192
    neoled_o     <= '0';
1193
  end generate;
1194
 
1195
 
1196 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1197
  -- -------------------------------------------------------------------------------------------
1198
  neorv32_sysinfo_inst: neorv32_sysinfo
1199
  generic map (
1200
    -- General --
1201 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1202
    BOOTLOADER_EN        => BOOTLOADER_EN,        -- implement processor-internal bootloader?
1203
    USER_CODE            => USER_CODE,            -- custom user code
1204 23 zero_gravi
    -- internal Instruction memory --
1205 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1206
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1207
    MEM_INT_IMEM_ROM     => MEM_INT_IMEM_ROM,     -- implement processor-internal instruction memory as ROM
1208 23 zero_gravi
    -- Internal Data memory --
1209 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1210
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1211 41 zero_gravi
    -- Internal Cache memory --
1212 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1213
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1214
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1215
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1216 23 zero_gravi
    -- External memory interface --
1217 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1218 12 zero_gravi
    -- Processor peripherals --
1219 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1220
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1221 50 zero_gravi
    IO_UART0_EN          => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1222
    IO_UART1_EN          => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1223 45 zero_gravi
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1224
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1225
    IO_PWM_EN            => IO_PWM_EN,            -- implement pulse-width modulation unit (PWM)?
1226
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1227
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1228 49 zero_gravi
    IO_CFS_EN            => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1229 52 zero_gravi
    IO_NCO_EN            => IO_NCO_EN,            -- implement numerically-controlled oscillator (NCO)?
1230
    IO_NEOLED_EN         => IO_NEOLED_EN          -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1231 12 zero_gravi
  )
1232
  port map (
1233
    -- host access --
1234
    clk_i  => clk_i,         -- global clock line
1235
    addr_i => p_bus.addr,    -- address
1236
    rden_i => io_rden,       -- read enable
1237
    data_o => sysinfo_rdata, -- data out
1238
    ack_o  => sysinfo_ack    -- transfer acknowledge
1239
  );
1240
 
1241
 
1242 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.