OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 53

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 2 zero_gravi
-- # and define all the configuration generics according to your needs. Alternatively, you can use #
6 18 zero_gravi
-- # one of the alternative top entities provided in the "rtl/top_templates" folder.               #
7
-- #                                                                                               #
8 23 zero_gravi
-- # Check the processor's data sheet for more information: docs/NEORV32.pdf                       #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_top is
49
  generic (
50
    -- General --
51 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52 44 zero_gravi
    BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
53 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
54 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
55 50 zero_gravi
 
56 2 zero_gravi
    -- RISC-V CPU Extensions --
57 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
59 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
60 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
61 11 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
62 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
63 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
64 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
65 50 zero_gravi
 
66 19 zero_gravi
    -- Extension Options --
67 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
68 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
69 50 zero_gravi
 
70 15 zero_gravi
    -- Physical Memory Protection (PMP) --
71 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
72
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
73 50 zero_gravi
 
74 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
75 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
76 50 zero_gravi
 
77 23 zero_gravi
    -- Internal Instruction memory --
78 44 zero_gravi
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
79 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
80
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
81 50 zero_gravi
 
82 23 zero_gravi
    -- Internal Data memory --
83 44 zero_gravi
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
84 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
85 50 zero_gravi
 
86 41 zero_gravi
    -- Internal Cache memory --
87 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
88 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
89
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
90 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
91 50 zero_gravi
 
92 23 zero_gravi
    -- External memory interface --
93 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
94 50 zero_gravi
 
95 2 zero_gravi
    -- Processor peripherals --
96 44 zero_gravi
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
97
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
98 50 zero_gravi
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
99
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
100 44 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
101
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
102
    IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
103
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
104
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
105 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
106 52 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
107
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
108
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
109
    IO_NCO_EN                    : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
110
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
111 2 zero_gravi
  );
112
  port (
113
    -- Global control --
114 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
115
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
116 50 zero_gravi
 
117 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
118 53 zero_gravi
    wb_tag_o    : out std_ulogic_vector(03 downto 0); -- request tag
119 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
120
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
121
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
122
    wb_we_o     : out std_ulogic; -- read/write
123
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
124
    wb_stb_o    : out std_ulogic; -- strobe
125
    wb_cyc_o    : out std_ulogic; -- valid cycle
126 53 zero_gravi
    wb_tag_i    : in  std_ulogic := '0'; -- response tag
127 34 zero_gravi
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
128
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
129 50 zero_gravi
 
130 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
131 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
132
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
133 50 zero_gravi
 
134 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
135 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
136
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
137 50 zero_gravi
 
138
    -- primary UART0 (available if IO_UART0_EN = true) --
139
    uart0_txd_o : out std_ulogic; -- UART0 send data
140
    uart0_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
141 51 zero_gravi
    uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
142
    uart0_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
143 50 zero_gravi
 
144
    -- secondary UART1 (available if IO_UART1_EN = true) --
145
    uart1_txd_o : out std_ulogic; -- UART1 send data
146
    uart1_rxd_i : in  std_ulogic := '0'; -- UART1 receive data
147 51 zero_gravi
    uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
148
    uart1_cts_i : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
149 50 zero_gravi
 
150 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
151 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
152
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
153
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
154 50 zero_gravi
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- chip-select
155
 
156 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
157 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
158
    twi_scl_io  : inout std_logic; -- twi serial clock line
159 50 zero_gravi
 
160 44 zero_gravi
    -- PWM (available if IO_PWM_EN = true) --
161 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
162 50 zero_gravi
 
163 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
164 52 zero_gravi
    cfs_in_i    : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom CFS inputs conduit
165
    cfs_out_o   : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
166 50 zero_gravi
 
167 49 zero_gravi
    -- NCO output (available if IO_NCO_EN = true) --
168
    nco_o       : out std_ulogic_vector(02 downto 0); -- numerically-controlled oscillator channels
169 50 zero_gravi
 
170 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
171
    neoled_o    : out std_ulogic; -- async serial data line
172
 
173 44 zero_gravi
    -- system time input from external MTIME (available if IO_MTIME_EN = false) --
174 40 zero_gravi
    mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
175 50 zero_gravi
 
176 14 zero_gravi
    -- Interrupts --
177 50 zero_gravi
    soc_firq_i  : in  std_ulogic_vector(5 downto 0) := (others => '0'); -- fast interrupt channels
178 44 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
179 34 zero_gravi
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
180
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
181 2 zero_gravi
  );
182
end neorv32_top;
183
 
184
architecture neorv32_top_rtl of neorv32_top is
185
 
186 12 zero_gravi
  -- CPU boot address --
187 44 zero_gravi
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
188 12 zero_gravi
 
189 41 zero_gravi
  -- Bus timeout --
190
  constant bus_timeout_temp_c : natural := 2**index_size_f(bus_timeout_c); -- round to next power-of-two
191 44 zero_gravi
  constant bus_timeout_proc_c : natural := cond_sel_natural_f(ICACHE_EN, ((ICACHE_BLOCK_SIZE/4)*bus_timeout_temp_c)-1, bus_timeout_c);
192 41 zero_gravi
 
193 29 zero_gravi
  -- alignment check for internal memories --
194
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
195
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
196
 
197 2 zero_gravi
  -- reset generator --
198
  signal rstn_i_sync0 : std_ulogic;
199
  signal rstn_i_sync1 : std_ulogic;
200
  signal rstn_i_sync2 : std_ulogic;
201
  signal rstn_gen     : std_ulogic_vector(3 downto 0);
202
  signal ext_rstn     : std_ulogic;
203
  signal sys_rstn     : std_ulogic;
204
  signal wdt_rstn     : std_ulogic;
205
 
206
  -- clock generator --
207
  signal clk_div    : std_ulogic_vector(11 downto 0);
208
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
209
  signal clk_gen    : std_ulogic_vector(07 downto 0);
210 52 zero_gravi
  signal clk_gen_en : std_ulogic_vector(08 downto 0);
211 47 zero_gravi
  --
212 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
213
  signal uart0_cg_en  : std_ulogic;
214
  signal uart1_cg_en  : std_ulogic;
215
  signal spi_cg_en    : std_ulogic;
216
  signal twi_cg_en    : std_ulogic;
217
  signal pwm_cg_en    : std_ulogic;
218
  signal cfs_cg_en    : std_ulogic;
219
  signal nco_cg_en    : std_ulogic;
220
  signal neoled_cg_en : std_ulogic;
221 2 zero_gravi
 
222 12 zero_gravi
  -- bus interface --
223
  type bus_interface_t is record
224 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
225
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
226
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
227
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
228
    we     : std_ulogic; -- write enable
229
    re     : std_ulogic; -- read enable
230
    cancel : std_ulogic; -- cancel current transfer
231
    ack    : std_ulogic; -- bus transfer acknowledge
232
    err    : std_ulogic; -- bus transfer error
233 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
234 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
235 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
236 53 zero_gravi
    excl   : std_ulogic; -- exclusive access
237 11 zero_gravi
  end record;
238 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
239 53 zero_gravi
  signal cpu_d_exclr : std_ulogic; -- CPU D-bus, exclusive access response
240 2 zero_gravi
 
241
  -- io space access --
242
  signal io_acc  : std_ulogic;
243
  signal io_rden : std_ulogic;
244
  signal io_wren : std_ulogic;
245
 
246
  -- read-back busses -
247
  signal imem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
248
  signal imem_ack       : std_ulogic;
249
  signal dmem_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
250
  signal dmem_ack       : std_ulogic;
251
  signal bootrom_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
252
  signal bootrom_ack    : std_ulogic;
253
  signal wishbone_rdata : std_ulogic_vector(data_width_c-1 downto 0);
254
  signal wishbone_ack   : std_ulogic;
255
  signal wishbone_err   : std_ulogic;
256 53 zero_gravi
  signal wishbone_exclr : std_ulogic;
257 2 zero_gravi
  signal gpio_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
258
  signal gpio_ack       : std_ulogic;
259
  signal mtime_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
260
  signal mtime_ack      : std_ulogic;
261 50 zero_gravi
  signal uart0_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
262
  signal uart0_ack      : std_ulogic;
263
  signal uart1_rdata    : std_ulogic_vector(data_width_c-1 downto 0);
264
  signal uart1_ack      : std_ulogic;
265 2 zero_gravi
  signal spi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
266
  signal spi_ack        : std_ulogic;
267
  signal twi_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
268
  signal twi_ack        : std_ulogic;
269
  signal pwm_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
270
  signal pwm_ack        : std_ulogic;
271
  signal wdt_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
272
  signal wdt_ack        : std_ulogic;
273
  signal trng_rdata     : std_ulogic_vector(data_width_c-1 downto 0);
274
  signal trng_ack       : std_ulogic;
275 47 zero_gravi
  signal cfs_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
276
  signal cfs_ack        : std_ulogic;
277 49 zero_gravi
  signal nco_rdata      : std_ulogic_vector(data_width_c-1 downto 0);
278
  signal nco_ack        : std_ulogic;
279 52 zero_gravi
  signal neoled_rdata   : std_ulogic_vector(data_width_c-1 downto 0);
280
  signal neoled_ack     : std_ulogic;
281 12 zero_gravi
  signal sysinfo_rdata  : std_ulogic_vector(data_width_c-1 downto 0);
282
  signal sysinfo_ack    : std_ulogic;
283 2 zero_gravi
 
284
  -- IRQs --
285 48 zero_gravi
  signal mtime_irq    : std_ulogic;
286 47 zero_gravi
  --
287 48 zero_gravi
  signal fast_irq     : std_ulogic_vector(15 downto 0);
288
  signal fast_irq_ack : std_ulogic_vector(15 downto 0);
289
  --
290 50 zero_gravi
  signal gpio_irq      : std_ulogic;
291
  signal wdt_irq       : std_ulogic;
292
  signal uart0_rxd_irq : std_ulogic;
293
  signal uart0_txd_irq : std_ulogic;
294
  signal uart1_rxd_irq : std_ulogic;
295
  signal uart1_txd_irq : std_ulogic;
296
  signal spi_irq       : std_ulogic;
297
  signal twi_irq       : std_ulogic;
298
  signal cfs_irq       : std_ulogic;
299
  signal cfs_irq_ack   : std_ulogic;
300 52 zero_gravi
  signal neoled_irq    : std_ulogic;
301 2 zero_gravi
 
302 11 zero_gravi
  -- misc --
303
  signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME
304 47 zero_gravi
  signal cpu_sleep  : std_ulogic; -- CPU is in sleep mode when set
305 11 zero_gravi
 
306 2 zero_gravi
begin
307
 
308
  -- Sanity Checks --------------------------------------------------------------------------
309
  -- -------------------------------------------------------------------------------------------
310 36 zero_gravi
  -- clock --
311
  assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
312 23 zero_gravi
  -- internal bootloader ROM --
313 44 zero_gravi
  assert not ((BOOTLOADER_EN = true) and (boot_rom_size_c > boot_rom_max_size_c)) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range." severity error;
314
  assert not ((BOOTLOADER_EN = true) and (MEM_INT_IMEM_ROM = true)) report "NEORV32 PROCESSOR CONFIG WARNING! IMEM is configured as read-only. Bootloader will not be able to load new executables." severity warning;
315 23 zero_gravi
  -- memory system - data/instruction fetch --
316 44 zero_gravi
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal data memory." severity error;
317
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal data memory and bootloader." severity error;
318 36 zero_gravi
  -- memory system - size --
319 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
320
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
321 29 zero_gravi
  -- memory system - alignment --
322
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
323
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
324 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
325
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
326 36 zero_gravi
  -- memory system - layout warning --
327 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
328
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
329 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
330 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
331 41 zero_gravi
  -- memory system - cached instruction fetch latency check --
332 44 zero_gravi
  assert not (ICACHE_EN = true) report "NEORV32 PROCESSOR CONFIG WARNING! Implementing i-cache. Increasing bus access timeout from " & integer'image(bus_timeout_c) & " cycles to " & integer'image(bus_timeout_proc_c) & " cycles." severity warning;
333 2 zero_gravi
 
334
 
335
  -- Reset Generator ------------------------------------------------------------------------
336
  -- -------------------------------------------------------------------------------------------
337
  reset_generator_sync: process(clk_i)
338
  begin
339
    -- make sure the external reset is free of metastability and has a minimal duration of 1 clock cycle
340
    if rising_edge(clk_i) then
341
      rstn_i_sync0 <= rstn_i;
342
      rstn_i_sync1 <= rstn_i_sync0;
343
      rstn_i_sync2 <= rstn_i_sync1;
344
    end if;
345
  end process reset_generator_sync;
346
 
347
  -- keep internal reset active for at least 4 clock cycles
348
  reset_generator: process(rstn_i_sync1, rstn_i_sync2, clk_i)
349
  begin
350 23 zero_gravi
    if ((rstn_i_sync1 and rstn_i_sync2) = '0') then -- signal stable?
351 2 zero_gravi
      rstn_gen <= (others => '0');
352
    elsif rising_edge(clk_i) then
353
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
354
    end if;
355
  end process reset_generator;
356
 
357
  ext_rstn <= rstn_gen(rstn_gen'left); -- the beautified external reset signal
358 23 zero_gravi
  sys_rstn <= ext_rstn and wdt_rstn;   -- system reset - can also be triggered by watchdog
359 2 zero_gravi
 
360
 
361
  -- Clock Generator ------------------------------------------------------------------------
362
  -- -------------------------------------------------------------------------------------------
363
  clock_generator: process(sys_rstn, clk_i)
364
  begin
365
    if (sys_rstn = '0') then
366
      clk_div    <= (others => '0');
367
      clk_div_ff <= (others => '0');
368 50 zero_gravi
      clk_gen_en <= (others => '0');
369 2 zero_gravi
    elsif rising_edge(clk_i) then
370 23 zero_gravi
      -- fresh clocks anyone? --
371 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
372
      clk_gen_en(1) <= uart0_cg_en;
373
      clk_gen_en(2) <= uart1_cg_en;
374
      clk_gen_en(3) <= spi_cg_en;
375
      clk_gen_en(4) <= twi_cg_en;
376
      clk_gen_en(5) <= pwm_cg_en;
377
      clk_gen_en(6) <= cfs_cg_en;
378
      clk_gen_en(7) <= nco_cg_en;
379 52 zero_gravi
      clk_gen_en(8) <= neoled_cg_en;
380 50 zero_gravi
      if (or_all_f(clk_gen_en) = '1') then
381 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
382 2 zero_gravi
      end if;
383 23 zero_gravi
      clk_div_ff <= clk_div;
384 2 zero_gravi
    end if;
385
  end process clock_generator;
386
 
387 23 zero_gravi
  -- clock enables: rising edge detectors --
388
  clock_generator_edge: process(clk_i)
389
  begin
390
    if rising_edge(clk_i) then
391
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
392
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
393
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
394
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
395
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
396
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
397
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
398
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
399
    end if;
400
  end process clock_generator_edge;
401 2 zero_gravi
 
402
 
403 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
404 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
405
  neorv32_cpu_inst: neorv32_cpu
406
  generic map (
407
    -- General --
408 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
409
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
410
    BUS_TIMEOUT                  => bus_timeout_proc_c,  -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
411 2 zero_gravi
    -- RISC-V CPU Extensions --
412 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
413 44 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit manipulation extensions?
414 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
415
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
416
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
417 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
418 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => false,                        -- implement 32-bit floating-point extension (using INT reg!)
419 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
420
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
421 19 zero_gravi
    -- Extension Options --
422 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
423
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
424 15 zero_gravi
    -- Physical Memory Protection (PMP) --
425 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
426
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
427
    -- Hardware Performance Monitors (HPM) --
428 47 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS         -- number of implemented HPM counters (0..29)
429 2 zero_gravi
  )
430
  port map (
431
    -- global control --
432 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
433
    rstn_i         => sys_rstn,     -- global reset, low-active, async
434 47 zero_gravi
    sleep_o        => cpu_sleep,    -- cpu is in sleep mode when set
435 12 zero_gravi
    -- instruction bus interface --
436
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
437
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
438
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
439
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
440
    i_bus_we_o     => cpu_i.we,     -- write enable
441
    i_bus_re_o     => cpu_i.re,     -- read enable
442
    i_bus_cancel_o => cpu_i.cancel, -- cancel current bus transaction
443
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
444
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
445
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
446 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
447 12 zero_gravi
    -- data bus interface --
448
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
449
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
450
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
451
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
452
    d_bus_we_o     => cpu_d.we,     -- write enable
453
    d_bus_re_o     => cpu_d.re,     -- read enable
454
    d_bus_cancel_o => cpu_d.cancel, -- cancel current bus transaction
455
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
456
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
457
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
458 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
459 53 zero_gravi
    d_bus_excl_o   => cpu_d.excl,   -- exclusive access
460
    d_bus_excl_i   => cpu_d_exclr,  -- state of exclusiv access (set if success)
461 11 zero_gravi
    -- system time input from MTIME --
462 12 zero_gravi
    time_i         => mtime_time,   -- current system time
463 14 zero_gravi
    -- interrupts (risc-v compliant) --
464
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
465
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
466
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
467
    -- fast interrupts (custom) --
468 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
469
    firq_ack_o     => fast_irq_ack  -- fast interrupt acknowledge mask
470 2 zero_gravi
  );
471
 
472 36 zero_gravi
  -- misc --
473 53 zero_gravi
  cpu_i.excl <= '0'; -- i-fetch cannot do exclusive accesses
474
  cpu_i.src  <= '1'; -- initialized but unused
475
  cpu_d.src  <= '0'; -- initialized but unused
476 36 zero_gravi
 
477 14 zero_gravi
  -- advanced memory control --
478
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
479
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
480 2 zero_gravi
 
481 47 zero_gravi
  -- fast interrupts - processor-internal --
482 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
483
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
484
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) data received
485
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) sending done
486
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) data received
487
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) sending done
488
  fast_irq(06) <= spi_irq;       -- SPI transmission done
489
  fast_irq(07) <= twi_irq;       -- TWI transmission done
490
  fast_irq(08) <= gpio_irq;      -- GPIO pin-change
491 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
492 14 zero_gravi
 
493 48 zero_gravi
  -- fast interrupts - platform level (for custom use) --
494 50 zero_gravi
  fast_irq(10) <= soc_firq_i(0);
495
  fast_irq(11) <= soc_firq_i(1);
496
  fast_irq(12) <= soc_firq_i(2);
497
  fast_irq(13) <= soc_firq_i(3);
498
  fast_irq(14) <= soc_firq_i(4);
499
  fast_irq(15) <= soc_firq_i(5);
500 14 zero_gravi
 
501 51 zero_gravi
  -- CFS IRQ acknowledge --
502
  cfs_irq_ack <= fast_irq_ack(1);
503 48 zero_gravi
 
504
 
505 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
506
  -- -------------------------------------------------------------------------------------------
507
  neorv32_icache_inst_true:
508 44 zero_gravi
  if (ICACHE_EN = true) generate
509 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
510 41 zero_gravi
    generic map (
511 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
512
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
513
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
514 41 zero_gravi
    )
515
    port map (
516
      -- global control --
517
      clk_i         => clk_i,          -- global clock, rising edge
518
      rstn_i        => sys_rstn,       -- global reset, low-active, async
519
      clear_i       => cpu_i.fence,    -- cache clear
520
      -- host controller interface --
521
      host_addr_i   => cpu_i.addr,     -- bus access address
522
      host_rdata_o  => cpu_i.rdata,    -- bus read data
523
      host_wdata_i  => cpu_i.wdata,    -- bus write data
524
      host_ben_i    => cpu_i.ben,      -- byte enable
525
      host_we_i     => cpu_i.we,       -- write enable
526
      host_re_i     => cpu_i.re,       -- read enable
527
      host_cancel_i => cpu_i.cancel,   -- cancel current bus transaction
528
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
529
      host_err_o    => cpu_i.err,      -- bus transfer error
530
      -- peripheral bus interface --
531
      bus_addr_o    => i_cache.addr,   -- bus access address
532
      bus_rdata_i   => i_cache.rdata,  -- bus read data
533
      bus_wdata_o   => i_cache.wdata,  -- bus write data
534
      bus_ben_o     => i_cache.ben,    -- byte enable
535
      bus_we_o      => i_cache.we,     -- write enable
536
      bus_re_o      => i_cache.re,     -- read enable
537
      bus_cancel_o  => i_cache.cancel, -- cancel current bus transaction
538
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
539
      bus_err_i     => i_cache.err     -- bus transfer error
540
    );
541
  end generate;
542
 
543
  neorv32_icache_inst_false:
544 44 zero_gravi
  if (ICACHE_EN = false) generate
545 41 zero_gravi
    i_cache.addr   <= cpu_i.addr;
546
    cpu_i.rdata    <= i_cache.rdata;
547
    i_cache.wdata  <= cpu_i.wdata;
548
    i_cache.ben    <= cpu_i.ben;
549
    i_cache.we     <= cpu_i.we;
550
    i_cache.re     <= cpu_i.re;
551
    i_cache.cancel <= cpu_i.cancel;
552
    cpu_i.ack      <= i_cache.ack;
553
    cpu_i.err      <= i_cache.err;
554
  end generate;
555
 
556 53 zero_gravi
  -- no exclusive accesses for i-fetch --
557
  i_cache.excl <= '0';
558 41 zero_gravi
 
559 53 zero_gravi
 
560 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
561 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
562
  neorv32_busswitch_inst: neorv32_busswitch
563
  generic map (
564
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
565
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
566
  )
567
  port map (
568
    -- global control --
569 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
570
    rstn_i          => sys_rstn,       -- global reset, low-active, async
571 12 zero_gravi
    -- controller interface a --
572 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
573
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
574
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
575
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
576
    ca_bus_we_i     => cpu_d.we,       -- write enable
577
    ca_bus_re_i     => cpu_d.re,       -- read enable
578
    ca_bus_cancel_i => cpu_d.cancel,   -- cancel current bus transaction
579 53 zero_gravi
    ca_bus_excl_i   => cpu_d.excl,     -- exclusive access
580 41 zero_gravi
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
581
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
582 12 zero_gravi
    -- controller interface b --
583 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
584
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
585
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
586
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
587
    cb_bus_we_i     => i_cache.we,     -- write enable
588
    cb_bus_re_i     => i_cache.re,     -- read enable
589
    cb_bus_cancel_i => i_cache.cancel, -- cancel current bus transaction
590 53 zero_gravi
    cb_bus_excl_i   => i_cache.excl,   -- exclusive access
591 41 zero_gravi
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
592
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
593 12 zero_gravi
    -- peripheral bus --
594 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
595
    p_bus_addr_o    => p_bus.addr,     -- bus access address
596
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
597
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
598
    p_bus_ben_o     => p_bus.ben,      -- byte enable
599
    p_bus_we_o      => p_bus.we,       -- write enable
600
    p_bus_re_o      => p_bus.re,       -- read enable
601
    p_bus_cancel_o  => p_bus.cancel,   -- cancel current bus transaction
602 53 zero_gravi
    p_bus_excl_o    => p_bus.excl,     -- exclusive access
603 41 zero_gravi
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
604
    p_bus_err_i     => p_bus.err       -- bus transfer error
605 12 zero_gravi
  );
606 2 zero_gravi
 
607 53 zero_gravi
  -- static signals --
608
  p_bus.priv <= cpu_i.priv; -- current CPU privilege level: cpu_i.priv == cpu_d.priv
609
 
610 49 zero_gravi
  -- processor bus: CPU transfer data input --
611 50 zero_gravi
  p_bus.rdata <= (imem_rdata or dmem_rdata or bootrom_rdata) or wishbone_rdata or (gpio_rdata or mtime_rdata or uart0_rdata or uart1_rdata or
612 52 zero_gravi
                 spi_rdata or twi_rdata or pwm_rdata or wdt_rdata or trng_rdata or cfs_rdata or nco_rdata or neoled_rdata or  sysinfo_rdata);
613 2 zero_gravi
 
614 49 zero_gravi
  -- processor bus: CPU transfer ACK input --
615 50 zero_gravi
  p_bus.ack <= (imem_ack or dmem_ack or bootrom_ack) or wishbone_ack or (gpio_ack or mtime_ack or uart0_ack or uart1_ack or
616 52 zero_gravi
               spi_ack or twi_ack or pwm_ack or wdt_ack or trng_ack or cfs_ack or nco_ack or neoled_ack or sysinfo_ack);
617 12 zero_gravi
 
618 49 zero_gravi
  -- processor bus: CPU transfer data bus error input --
619 50 zero_gravi
  p_bus.err <= wishbone_err;
620 12 zero_gravi
 
621 53 zero_gravi
  -- exclusive access status --
622
  -- since all internal modules/memories are only accessible to this CPU internal atomic access cannot fail
623
  cpu_d_exclr <= wishbone_exclr; -- only external atomic memory accesses can fail
624 12 zero_gravi
 
625 36 zero_gravi
 
626 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
627
  -- -------------------------------------------------------------------------------------------
628
  neorv32_int_imem_inst_true:
629 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
630 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
631
    generic map (
632 23 zero_gravi
      IMEM_BASE      => imem_base_c,       -- memory base address
633 2 zero_gravi
      IMEM_SIZE      => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes
634
      IMEM_AS_ROM    => MEM_INT_IMEM_ROM,  -- implement IMEM as read-only memory?
635 45 zero_gravi
      BOOTLOADER_EN  => BOOTLOADER_EN      -- implement and use bootloader?
636 2 zero_gravi
    )
637
    port map (
638 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
639
      rden_i => p_bus.re,    -- read enable
640
      wren_i => p_bus.we,    -- write enable
641
      ben_i  => p_bus.ben,   -- byte write enable
642
      addr_i => p_bus.addr,  -- address
643
      data_i => p_bus.wdata, -- data in
644
      data_o => imem_rdata,  -- data out
645
      ack_o  => imem_ack     -- transfer acknowledge
646 2 zero_gravi
    );
647
  end generate;
648
 
649
  neorv32_int_imem_inst_false:
650 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
651 2 zero_gravi
    imem_rdata <= (others => '0');
652
    imem_ack   <= '0';
653
  end generate;
654
 
655
 
656
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
657
  -- -------------------------------------------------------------------------------------------
658
  neorv32_int_dmem_inst_true:
659 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
660 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
661
    generic map (
662 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
663 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
664
    )
665
    port map (
666 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
667
      rden_i => p_bus.re,    -- read enable
668
      wren_i => p_bus.we,    -- write enable
669
      ben_i  => p_bus.ben,   -- byte write enable
670
      addr_i => p_bus.addr,  -- address
671
      data_i => p_bus.wdata, -- data in
672
      data_o => dmem_rdata,  -- data out
673
      ack_o  => dmem_ack     -- transfer acknowledge
674 2 zero_gravi
    );
675
  end generate;
676
 
677
  neorv32_int_dmem_inst_false:
678 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
679 2 zero_gravi
    dmem_rdata <= (others => '0');
680
    dmem_ack   <= '0';
681
  end generate;
682
 
683
 
684
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
685
  -- -------------------------------------------------------------------------------------------
686
  neorv32_boot_rom_inst_true:
687 44 zero_gravi
  if (BOOTLOADER_EN = true) generate
688 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
689 23 zero_gravi
    generic map (
690
      BOOTROM_BASE => boot_rom_base_c, -- boot ROM base address
691
      BOOTROM_SIZE => boot_rom_size_c  -- processor-internal boot TOM memory size in bytes
692
    )
693 2 zero_gravi
    port map (
694
      clk_i  => clk_i,         -- global clock line
695 12 zero_gravi
      rden_i => p_bus.re,      -- read enable
696
      addr_i => p_bus.addr,    -- address
697 2 zero_gravi
      data_o => bootrom_rdata, -- data out
698
      ack_o  => bootrom_ack    -- transfer acknowledge
699
    );
700
  end generate;
701
 
702
  neorv32_boot_rom_inst_false:
703 44 zero_gravi
  if (BOOTLOADER_EN = false) generate
704 2 zero_gravi
    bootrom_rdata <= (others => '0');
705
    bootrom_ack   <= '0';
706
  end generate;
707
 
708
 
709
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
710
  -- -------------------------------------------------------------------------------------------
711
  neorv32_wishbone_inst_true:
712 44 zero_gravi
  if (MEM_EXT_EN = true) generate
713 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
714
    generic map (
715 45 zero_gravi
      WB_PIPELINED_MODE => wb_pipe_mode_c,    -- false: classic/standard wishbone mode, true: pipelined wishbone mode
716 23 zero_gravi
      -- Internal instruction memory --
717 45 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
718
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
719 23 zero_gravi
      -- Internal data memory --
720 45 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
721
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
722 2 zero_gravi
    )
723
    port map (
724
      -- global control --
725 39 zero_gravi
      clk_i     => clk_i,          -- global clock line
726
      rstn_i    => sys_rstn,       -- global reset line, low-active
727 2 zero_gravi
      -- host access --
728 39 zero_gravi
      src_i     => p_bus.src,      -- access type (0: data, 1:instruction)
729
      addr_i    => p_bus.addr,     -- address
730
      rden_i    => p_bus.re,       -- read enable
731
      wren_i    => p_bus.we,       -- write enable
732
      ben_i     => p_bus.ben,      -- byte write enable
733
      data_i    => p_bus.wdata,    -- data in
734
      data_o    => wishbone_rdata, -- data out
735
      cancel_i  => p_bus.cancel,   -- cancel current transaction
736 53 zero_gravi
      excl_i    => p_bus.excl,     -- exclusive access request
737
      excl_o    => wishbone_exclr, -- state of exclusiv access (set if success)
738 39 zero_gravi
      ack_o     => wishbone_ack,   -- transfer acknowledge
739
      err_o     => wishbone_err,   -- transfer error
740
      priv_i    => p_bus.priv,     -- current CPU privilege level
741 2 zero_gravi
      -- wishbone interface --
742 53 zero_gravi
      wb_tag_o  => wb_tag_o,       -- request tag
743 39 zero_gravi
      wb_adr_o  => wb_adr_o,       -- address
744
      wb_dat_i  => wb_dat_i,       -- read data
745
      wb_dat_o  => wb_dat_o,       -- write data
746
      wb_we_o   => wb_we_o,        -- read/write
747
      wb_sel_o  => wb_sel_o,       -- byte enable
748
      wb_stb_o  => wb_stb_o,       -- strobe
749
      wb_cyc_o  => wb_cyc_o,       -- valid cycle
750 53 zero_gravi
      wb_tag_i  => wb_tag_i,       -- response tag
751 39 zero_gravi
      wb_ack_i  => wb_ack_i,       -- transfer acknowledge
752
      wb_err_i  => wb_err_i        -- transfer error
753 2 zero_gravi
    );
754
  end generate;
755
 
756
  neorv32_wishbone_inst_false:
757 44 zero_gravi
  if (MEM_EXT_EN = false) generate
758 2 zero_gravi
    wishbone_rdata <= (others => '0');
759
    wishbone_ack   <= '0';
760
    wishbone_err   <= '0';
761 53 zero_gravi
    wishbone_exclr <= '0';
762 2 zero_gravi
    --
763 53 zero_gravi
    wb_adr_o <= (others => '0');
764
    wb_dat_o <= (others => '0');
765
    wb_we_o  <= '0';
766
    wb_sel_o <= (others => '0');
767
    wb_stb_o <= '0';
768
    wb_cyc_o <= '0';
769
    wb_tag_o <= (others => '0');
770 2 zero_gravi
  end generate;
771
 
772
 
773
  -- IO Access? -----------------------------------------------------------------------------
774
  -- -------------------------------------------------------------------------------------------
775 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
776 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
777 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
778
  io_wren <= io_acc and p_bus.we and and_all_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
779 2 zero_gravi
 
780
 
781 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
782
  -- -------------------------------------------------------------------------------------------
783
  neorv32_cfs_inst_true:
784
  if (IO_CFS_EN = true) generate
785
    neorv32_cfs_inst: neorv32_cfs
786
    generic map (
787 52 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic 
788
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
789
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
790 47 zero_gravi
    )
791
    port map (
792
      -- host access --
793
      clk_i       => clk_i,           -- global clock line
794
      rstn_i      => sys_rstn,        -- global reset line, low-active, use as async
795
      addr_i      => p_bus.addr,      -- address
796
      rden_i      => io_rden,         -- read enable
797
      wren_i      => io_wren,         -- byte write enable
798
      data_i      => p_bus.wdata,     -- data in
799
      data_o      => cfs_rdata,       -- data out
800
      ack_o       => cfs_ack,         -- transfer acknowledge
801
      -- clock generator --
802
      clkgen_en_o => cfs_cg_en,       -- enable clock generator
803
      clkgen_i    => clk_gen,         -- "clock" inputs
804
      -- CPU state --
805
      sleep_i     => cpu_sleep,       -- set if cpu is in sleep mode
806
      -- interrupt --
807
      irq_o       => cfs_irq,         -- interrupt request
808 48 zero_gravi
      irq_ack_i   => cfs_irq_ack,     -- interrupt acknowledge
809 47 zero_gravi
      -- custom io (conduit) --
810
      cfs_in_i    => cfs_in_i,        -- custom inputs
811
      cfs_out_o   => cfs_out_o        -- custom outputs
812
    );
813
  end generate;
814
 
815
  neorv32_cfs_inst_false:
816
  if (IO_CFS_EN = false) generate
817
    cfs_rdata <= (others => '0');
818
    cfs_ack   <= '0';
819
    cfs_cg_en <= '0';
820
    cfs_irq   <= '0';
821
    cfs_out_o <= (others => '0');
822
  end generate;
823
 
824
 
825 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
826
  -- -------------------------------------------------------------------------------------------
827
  neorv32_gpio_inst_true:
828 44 zero_gravi
  if (IO_GPIO_EN = true) generate
829 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
830
    port map (
831
      -- host access --
832 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
833
      addr_i => p_bus.addr,  -- address
834
      rden_i => io_rden,     -- read enable
835
      wren_i => io_wren,     -- write enable
836
      data_i => p_bus.wdata, -- data in
837
      data_o => gpio_rdata,  -- data out
838
      ack_o  => gpio_ack,    -- transfer acknowledge
839 2 zero_gravi
      -- parallel io --
840
      gpio_o => gpio_o,
841
      gpio_i => gpio_i,
842
      -- interrupt --
843 12 zero_gravi
      irq_o  => gpio_irq     -- pin-change interrupt
844 2 zero_gravi
    );
845
  end generate;
846
 
847
  neorv32_gpio_inst_false:
848 44 zero_gravi
  if (IO_GPIO_EN = false) generate
849 2 zero_gravi
    gpio_rdata <= (others => '0');
850
    gpio_ack   <= '0';
851
    gpio_o     <= (others => '0');
852
    gpio_irq   <= '0';
853
  end generate;
854
 
855
 
856
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
857
  -- -------------------------------------------------------------------------------------------
858
  neorv32_wdt_inst_true:
859 44 zero_gravi
  if (IO_WDT_EN = true) generate
860 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
861
    port map (
862
      -- host access --
863 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
864
      rstn_i      => ext_rstn,    -- global reset line, low-active
865
      rden_i      => io_rden,     -- read enable
866
      wren_i      => io_wren,     -- write enable
867
      addr_i      => p_bus.addr,  -- address
868
      data_i      => p_bus.wdata, -- data in
869
      data_o      => wdt_rdata,   -- data out
870
      ack_o       => wdt_ack,     -- transfer acknowledge
871 2 zero_gravi
      -- clock generator --
872 12 zero_gravi
      clkgen_en_o => wdt_cg_en,   -- enable clock generator
873 2 zero_gravi
      clkgen_i    => clk_gen,
874
      -- timeout event --
875 12 zero_gravi
      irq_o       => wdt_irq,     -- timeout IRQ
876
      rstn_o      => wdt_rstn     -- timeout reset, low_active, use it as async!
877 2 zero_gravi
    );
878
  end generate;
879
 
880
  neorv32_wdt_inst_false:
881 44 zero_gravi
  if (IO_WDT_EN = false) generate
882 2 zero_gravi
    wdt_rdata <= (others => '0');
883
    wdt_ack   <= '0';
884
    wdt_irq   <= '0';
885
    wdt_rstn  <= '1';
886
    wdt_cg_en <= '0';
887
  end generate;
888
 
889
 
890
  -- Machine System Timer (MTIME) -----------------------------------------------------------
891
  -- -------------------------------------------------------------------------------------------
892
  neorv32_mtime_inst_true:
893 44 zero_gravi
  if (IO_MTIME_EN = true) generate
894 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
895
    port map (
896
      -- host access --
897 12 zero_gravi
      clk_i     => clk_i,       -- global clock line
898
      rstn_i    => sys_rstn,    -- global reset, low-active, async
899
      addr_i    => p_bus.addr,  -- address
900
      rden_i    => io_rden,     -- read enable
901
      wren_i    => io_wren,     -- write enable
902
      data_i    => p_bus.wdata, -- data in
903
      data_o    => mtime_rdata, -- data out
904
      ack_o     => mtime_ack,   -- transfer acknowledge
905 11 zero_gravi
      -- time output for CPU --
906 12 zero_gravi
      time_o    => mtime_time,  -- current system time
907 2 zero_gravi
      -- interrupt --
908 12 zero_gravi
      irq_o     => mtime_irq    -- interrupt request
909 2 zero_gravi
    );
910
  end generate;
911
 
912
  neorv32_mtime_inst_false:
913 44 zero_gravi
  if (IO_MTIME_EN = false) generate
914 2 zero_gravi
    mtime_rdata <= (others => '0');
915 40 zero_gravi
    mtime_time  <= mtime_i; -- use external machine timer time signal
916 2 zero_gravi
    mtime_ack   <= '0';
917 34 zero_gravi
    mtime_irq   <= mtime_irq_i; -- use external machine timer interrupt
918 2 zero_gravi
  end generate;
919
 
920
 
921 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
922 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
923 50 zero_gravi
  neorv32_uart0_inst_true:
924
  if (IO_UART0_EN = true) generate
925
    neorv32_uart0_inst: neorv32_uart
926
    generic map (
927
      UART_PRIMARY => true -- true = primary UART (UART0), false = secondary UART (UART1)
928
    )
929 2 zero_gravi
    port map (
930
      -- host access --
931 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
932
      addr_i      => p_bus.addr,    -- address
933
      rden_i      => io_rden,       -- read enable
934
      wren_i      => io_wren,       -- write enable
935
      data_i      => p_bus.wdata,   -- data in
936
      data_o      => uart0_rdata,   -- data out
937
      ack_o       => uart0_ack,     -- transfer acknowledge
938 2 zero_gravi
      -- clock generator --
939 51 zero_gravi
      clkgen_en_o => uart0_cg_en,   -- enable clock generator
940 2 zero_gravi
      clkgen_i    => clk_gen,
941
      -- com lines --
942 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
943
      uart_rxd_i  => uart0_rxd_i,
944 51 zero_gravi
      -- hardware flow control --
945
      uart_rts_o  => uart0_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
946
      uart_cts_i  => uart0_cts_i,   -- UART.TX allowed to transmit, low-active, optional
947 2 zero_gravi
      -- interrupts --
948 50 zero_gravi
      irq_rxd_o   => uart0_rxd_irq, -- uart data received interrupt
949
      irq_txd_o   => uart0_txd_irq  -- uart transmission done interrupt
950 2 zero_gravi
    );
951
  end generate;
952
 
953 50 zero_gravi
  neorv32_uart0_inst_false:
954
  if (IO_UART0_EN = false) generate
955
    uart0_rdata   <= (others => '0');
956
    uart0_ack     <= '0';
957
    uart0_txd_o   <= '0';
958 51 zero_gravi
    uart0_rts_o   <= '0';
959 50 zero_gravi
    uart0_cg_en   <= '0';
960
    uart0_rxd_irq <= '0';
961
    uart0_txd_irq <= '0';
962 2 zero_gravi
  end generate;
963
 
964
 
965 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
966 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
967
  neorv32_uart1_inst_true:
968
  if (IO_UART1_EN = true) generate
969
    neorv32_uart1_inst: neorv32_uart
970
    generic map (
971
      UART_PRIMARY => false -- true = primary UART (UART0), false = secondary UART (UART1)
972
    )
973
    port map (
974
      -- host access --
975 51 zero_gravi
      clk_i       => clk_i,         -- global clock line
976
      addr_i      => p_bus.addr,    -- address
977
      rden_i      => io_rden,       -- read enable
978
      wren_i      => io_wren,       -- write enable
979
      data_i      => p_bus.wdata,   -- data in
980
      data_o      => uart1_rdata,   -- data out
981
      ack_o       => uart1_ack,     -- transfer acknowledge
982 50 zero_gravi
      -- clock generator --
983 51 zero_gravi
      clkgen_en_o => uart1_cg_en,   -- enable clock generator
984 50 zero_gravi
      clkgen_i    => clk_gen,
985
      -- com lines --
986
      uart_txd_o  => uart1_txd_o,
987
      uart_rxd_i  => uart1_rxd_i,
988 51 zero_gravi
      -- hardware flow control --
989
      uart_rts_o  => uart1_rts_o,   -- UART.RX ready to receive ("RTR"), low-active, optional
990
      uart_cts_i  => uart1_cts_i,   -- UART.TX allowed to transmit, low-active, optional
991 50 zero_gravi
      -- interrupts --
992
      irq_rxd_o   => uart1_rxd_irq, -- uart data received interrupt
993
      irq_txd_o   => uart1_txd_irq  -- uart transmission done interrupt
994
    );
995
  end generate;
996
 
997
  neorv32_uart1_inst_false:
998
  if (IO_UART1_EN = false) generate
999
    uart1_rdata   <= (others => '0');
1000
    uart1_ack     <= '0';
1001
    uart1_txd_o   <= '0';
1002 51 zero_gravi
    uart1_rts_o   <= '0';
1003 50 zero_gravi
    uart1_cg_en   <= '0';
1004
    uart1_rxd_irq <= '0';
1005
    uart1_txd_irq <= '0';
1006
  end generate;
1007
 
1008
 
1009 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1010
  -- -------------------------------------------------------------------------------------------
1011
  neorv32_spi_inst_true:
1012 44 zero_gravi
  if (IO_SPI_EN = true) generate
1013 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1014
    port map (
1015
      -- host access --
1016 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1017
      addr_i      => p_bus.addr,  -- address
1018
      rden_i      => io_rden,     -- read enable
1019
      wren_i      => io_wren,     -- write enable
1020
      data_i      => p_bus.wdata, -- data in
1021
      data_o      => spi_rdata,   -- data out
1022
      ack_o       => spi_ack,     -- transfer acknowledge
1023 2 zero_gravi
      -- clock generator --
1024 12 zero_gravi
      clkgen_en_o => spi_cg_en,   -- enable clock generator
1025 2 zero_gravi
      clkgen_i    => clk_gen,
1026
      -- com lines --
1027 12 zero_gravi
      spi_sck_o   => spi_sck_o,   -- SPI serial clock
1028
      spi_sdo_o   => spi_sdo_o,   -- controller data out, peripheral data in
1029
      spi_sdi_i   => spi_sdi_i,   -- controller data in, peripheral data out
1030
      spi_csn_o   => spi_csn_o,   -- SPI CS
1031 2 zero_gravi
      -- interrupt --
1032 48 zero_gravi
      irq_o       => spi_irq      -- transmission done interrupt
1033 2 zero_gravi
    );
1034
  end generate;
1035
 
1036
  neorv32_spi_inst_false:
1037 44 zero_gravi
  if (IO_SPI_EN = false) generate
1038 2 zero_gravi
    spi_rdata  <= (others => '0');
1039
    spi_ack    <= '0';
1040 6 zero_gravi
    spi_sck_o  <= '0';
1041
    spi_sdo_o  <= '0';
1042 2 zero_gravi
    spi_csn_o  <= (others => '1'); -- CSn lines are low-active
1043
    spi_cg_en  <= '0';
1044
    spi_irq    <= '0';
1045
  end generate;
1046
 
1047
 
1048
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1049
  -- -------------------------------------------------------------------------------------------
1050
  neorv32_twi_inst_true:
1051 44 zero_gravi
  if (IO_TWI_EN = true) generate
1052 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1053
    port map (
1054
      -- host access --
1055 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1056
      addr_i      => p_bus.addr,  -- address
1057
      rden_i      => io_rden,     -- read enable
1058
      wren_i      => io_wren,     -- write enable
1059
      data_i      => p_bus.wdata, -- data in
1060
      data_o      => twi_rdata,   -- data out
1061
      ack_o       => twi_ack,     -- transfer acknowledge
1062 2 zero_gravi
      -- clock generator --
1063 12 zero_gravi
      clkgen_en_o => twi_cg_en,   -- enable clock generator
1064 2 zero_gravi
      clkgen_i    => clk_gen,
1065
      -- com lines --
1066 12 zero_gravi
      twi_sda_io  => twi_sda_io,  -- serial data line
1067
      twi_scl_io  => twi_scl_io,  -- serial clock line
1068 2 zero_gravi
      -- interrupt --
1069 48 zero_gravi
      irq_o       => twi_irq      -- transfer done IRQ
1070 2 zero_gravi
    );
1071
  end generate;
1072
 
1073
  neorv32_twi_inst_false:
1074 44 zero_gravi
  if (IO_TWI_EN = false) generate
1075 2 zero_gravi
    twi_rdata  <= (others => '0');
1076
    twi_ack    <= '0';
1077 51 zero_gravi
--  twi_sda_io <= 'Z'; -- FIXME?
1078
--  twi_scl_io <= 'Z'; -- FIXME?
1079 2 zero_gravi
    twi_cg_en  <= '0';
1080
    twi_irq    <= '0';
1081
  end generate;
1082
 
1083
 
1084
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1085
  -- -------------------------------------------------------------------------------------------
1086
  neorv32_pwm_inst_true:
1087 44 zero_gravi
  if (IO_PWM_EN = true) generate
1088 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1089
    port map (
1090
      -- host access --
1091 12 zero_gravi
      clk_i       => clk_i,       -- global clock line
1092
      addr_i      => p_bus.addr,  -- address
1093
      rden_i      => io_rden,     -- read enable
1094
      wren_i      => io_wren,     -- write enable
1095
      data_i      => p_bus.wdata, -- data in
1096
      data_o      => pwm_rdata,   -- data out
1097
      ack_o       => pwm_ack,     -- transfer acknowledge
1098 2 zero_gravi
      -- clock generator --
1099 12 zero_gravi
      clkgen_en_o => pwm_cg_en,   -- enable clock generator
1100 2 zero_gravi
      clkgen_i    => clk_gen,
1101
      -- pwm output channels --
1102
      pwm_o       => pwm_o
1103
    );
1104
  end generate;
1105
 
1106
  neorv32_pwm_inst_false:
1107 44 zero_gravi
  if (IO_PWM_EN = false) generate
1108 2 zero_gravi
    pwm_rdata <= (others => '0');
1109
    pwm_ack   <= '0';
1110
    pwm_cg_en <= '0';
1111
    pwm_o     <= (others => '0');
1112
  end generate;
1113
 
1114
 
1115 49 zero_gravi
  -- Numerically-Controlled Oscillator (NCO) ------------------------------------------------
1116
  -- -------------------------------------------------------------------------------------------
1117
  neorv32_nco_inst_true:
1118
  if (IO_NCO_EN = true) generate
1119
    neorv32_nco_inst: neorv32_nco
1120
    port map (
1121
      -- host access --
1122
      clk_i       => clk_i,       -- global clock line
1123
      addr_i      => p_bus.addr,  -- address
1124
      rden_i      => io_rden,     -- read enable
1125
      wren_i      => io_wren,     -- write enable
1126
      data_i      => p_bus.wdata, -- data in
1127
      data_o      => nco_rdata,   -- data out
1128
      ack_o       => nco_ack,     -- transfer acknowledge
1129
      -- clock generator --
1130
      clkgen_en_o => nco_cg_en,   -- enable clock generator
1131
      clkgen_i    => clk_gen,
1132
      -- NCO output --
1133
      nco_o       => nco_o
1134
    );
1135
  end generate;
1136
 
1137
  neorv32_nco_inst_false:
1138
  if (IO_NCO_EN = false) generate
1139
    nco_rdata <= (others => '0');
1140
    nco_ack   <= '0';
1141
    nco_cg_en <= '0';
1142
    nco_o     <= (others => '0');
1143
  end generate;
1144
 
1145
 
1146 2 zero_gravi
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1147
  -- -------------------------------------------------------------------------------------------
1148
  neorv32_trng_inst_true:
1149 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1150 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1151
    port map (
1152
      -- host access --
1153 12 zero_gravi
      clk_i  => clk_i,       -- global clock line
1154
      addr_i => p_bus.addr,  -- address
1155
      rden_i => io_rden,     -- read enable
1156
      wren_i => io_wren,     -- write enable
1157
      data_i => p_bus.wdata, -- data in
1158
      data_o => trng_rdata,  -- data out
1159
      ack_o  => trng_ack     -- transfer acknowledge
1160 2 zero_gravi
    );
1161
  end generate;
1162
 
1163
  neorv32_trng_inst_false:
1164 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1165 2 zero_gravi
    trng_rdata <= (others => '0');
1166
    trng_ack   <= '0';
1167
  end generate;
1168
 
1169
 
1170 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1171
  -- -------------------------------------------------------------------------------------------
1172
  neorv32_neoled_inst_true:
1173
  if (IO_NEOLED_EN = true) generate
1174
    neorv32_neoled_inst: neorv32_neoled
1175
    port map (
1176
      -- host access --
1177
      clk_i       => clk_i,        -- global clock line
1178
      addr_i      => p_bus.addr,   -- address
1179
      rden_i      => io_rden,      -- read enable
1180
      wren_i      => io_wren,      -- write enable
1181
      data_i      => p_bus.wdata,  -- data in
1182
      data_o      => neoled_rdata, -- data out
1183
      ack_o       => neoled_ack,   -- transfer acknowledge
1184
      -- clock generator --
1185
      clkgen_en_o => neoled_cg_en, -- enable clock generator
1186
      clkgen_i    => clk_gen,
1187
      -- interrupt --
1188
      irq_o       => neoled_irq,   -- interrupt request
1189
      -- NEOLED output --
1190
      neoled_o    => neoled_o      -- serial async data line
1191
    );
1192
  end generate;
1193
 
1194
  neorv32_neoled_inst_false:
1195
  if (IO_NEOLED_EN = false) generate
1196
    neoled_rdata <= (others => '0');
1197
    neoled_ack   <= '0';
1198
    neoled_cg_en <= '0';
1199
    neoled_irq   <= '0';
1200
    neoled_o     <= '0';
1201
  end generate;
1202
 
1203
 
1204 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1205
  -- -------------------------------------------------------------------------------------------
1206
  neorv32_sysinfo_inst: neorv32_sysinfo
1207
  generic map (
1208
    -- General --
1209 45 zero_gravi
    CLOCK_FREQUENCY      => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1210
    BOOTLOADER_EN        => BOOTLOADER_EN,        -- implement processor-internal bootloader?
1211
    USER_CODE            => USER_CODE,            -- custom user code
1212 23 zero_gravi
    -- internal Instruction memory --
1213 45 zero_gravi
    MEM_INT_IMEM_EN      => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1214
    MEM_INT_IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1215
    MEM_INT_IMEM_ROM     => MEM_INT_IMEM_ROM,     -- implement processor-internal instruction memory as ROM
1216 23 zero_gravi
    -- Internal Data memory --
1217 45 zero_gravi
    MEM_INT_DMEM_EN      => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1218
    MEM_INT_DMEM_SIZE    => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1219 41 zero_gravi
    -- Internal Cache memory --
1220 45 zero_gravi
    ICACHE_EN            => ICACHE_EN,            -- implement instruction cache
1221
    ICACHE_NUM_BLOCKS    => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1222
    ICACHE_BLOCK_SIZE    => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1223
    ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1224 23 zero_gravi
    -- External memory interface --
1225 45 zero_gravi
    MEM_EXT_EN           => MEM_EXT_EN,           -- implement external memory bus interface?
1226 12 zero_gravi
    -- Processor peripherals --
1227 45 zero_gravi
    IO_GPIO_EN           => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1228
    IO_MTIME_EN          => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1229 50 zero_gravi
    IO_UART0_EN          => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1230
    IO_UART1_EN          => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1231 45 zero_gravi
    IO_SPI_EN            => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1232
    IO_TWI_EN            => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1233
    IO_PWM_EN            => IO_PWM_EN,            -- implement pulse-width modulation unit (PWM)?
1234
    IO_WDT_EN            => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1235
    IO_TRNG_EN           => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1236 49 zero_gravi
    IO_CFS_EN            => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1237 52 zero_gravi
    IO_NCO_EN            => IO_NCO_EN,            -- implement numerically-controlled oscillator (NCO)?
1238
    IO_NEOLED_EN         => IO_NEOLED_EN          -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1239 12 zero_gravi
  )
1240
  port map (
1241
    -- host access --
1242
    clk_i  => clk_i,         -- global clock line
1243
    addr_i => p_bus.addr,    -- address
1244
    rden_i => io_rden,       -- read enable
1245
    data_o => sysinfo_rdata, -- data out
1246
    ack_o  => sysinfo_ack    -- transfer acknowledge
1247
  );
1248
 
1249
 
1250 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.