OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity >>                                                          #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This is the top entity of the NEORV32 PROCESSOR. Instantiate this unit in your own project    #
5 63 zero_gravi
-- # and define all the configuration generics according to your needs or use one of the           #
6
-- # pre-defined template wrappers.                                                                #
7 18 zero_gravi
-- #                                                                                               #
8 63 zero_gravi
-- # Check out the processor's online documentation for more information:                          #
9
-- #  HQ:         https://github.com/stnolting/neorv32                                             #
10
-- #  Data Sheet: https://stnolting.github.io/neorv32                                              #
11
-- #  User Guide: https://stnolting.github.io/neorv32/ug                                           #
12 2 zero_gravi
-- # ********************************************************************************************* #
13
-- # BSD 3-Clause License                                                                          #
14
-- #                                                                                               #
15 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
16 2 zero_gravi
-- #                                                                                               #
17
-- # Redistribution and use in source and binary forms, with or without modification, are          #
18
-- # permitted provided that the following conditions are met:                                     #
19
-- #                                                                                               #
20
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
21
-- #    conditions and the following disclaimer.                                                   #
22
-- #                                                                                               #
23
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
24
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
25
-- #    provided with the distribution.                                                            #
26
-- #                                                                                               #
27
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
28
-- #    endorse or promote products derived from this software without specific prior written      #
29
-- #    permission.                                                                                #
30
-- #                                                                                               #
31
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
32
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
33
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
34
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
35
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
36
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
37
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
38
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
39
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
40
-- # ********************************************************************************************* #
41
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
42
-- #################################################################################################
43
 
44
library ieee;
45
use ieee.std_logic_1164.all;
46
use ieee.numeric_std.all;
47
 
48
library neorv32;
49
use neorv32.neorv32_package.all;
50
 
51
entity neorv32_top is
52
  generic (
53
    -- General --
54 62 zero_gravi
    CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
55 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
56 62 zero_gravi
    INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
57 50 zero_gravi
 
58 59 zero_gravi
    -- On-Chip Debugger (OCD) --
59
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
60
 
61 2 zero_gravi
    -- RISC-V CPU Extensions --
62 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
63 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
64 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
65 61 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
66 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
67 63 zero_gravi
    CPU_EXTENSION_RISCV_Zbb      : boolean := false;  -- implement basic bit-manipulation sub-extension?
68 57 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
69 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
70 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
71 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
72 50 zero_gravi
 
73 19 zero_gravi
    -- Extension Options --
74 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
75 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
76 56 zero_gravi
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
77 62 zero_gravi
    CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
78 50 zero_gravi
 
79 15 zero_gravi
    -- Physical Memory Protection (PMP) --
80 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
81
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
82 50 zero_gravi
 
83 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
84 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
85 60 zero_gravi
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
86 50 zero_gravi
 
87 61 zero_gravi
    -- Internal Instruction memory (IMEM) --
88 62 zero_gravi
    MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
89 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
90 50 zero_gravi
 
91 61 zero_gravi
    -- Internal Data memory (DMEM) --
92 62 zero_gravi
    MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
93 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
94 50 zero_gravi
 
95 61 zero_gravi
    -- Internal Cache memory (iCACHE) --
96 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
97 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
98
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
99 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
100 50 zero_gravi
 
101 61 zero_gravi
    -- External memory interface (WISHBONE) --
102 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
103 57 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
104 62 zero_gravi
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
105
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
106
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
107 50 zero_gravi
 
108 61 zero_gravi
    -- Stream link interface (SLINK) --
109
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
110
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
111
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
112
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
113
 
114
    -- External Interrupts Controller (XIRQ) --
115
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
116 63 zero_gravi
    XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger type: 0=level, 1=edge
117
    XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
118 61 zero_gravi
 
119 2 zero_gravi
    -- Processor peripherals --
120 62 zero_gravi
    IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
121
    IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
122
    IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
123 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
124
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
125 62 zero_gravi
    IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
126 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
127
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
128 62 zero_gravi
    IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
129
    IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
130
    IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
131
    IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
132 44 zero_gravi
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
133 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
134 56 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
135 52 zero_gravi
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
136
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
137 62 zero_gravi
    IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
138
    IO_NEOLED_TX_FIFO            : natural := 1       -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
139 2 zero_gravi
  );
140
  port (
141
    -- Global control --
142 62 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
143
    rstn_i         : in  std_ulogic; -- global reset, low-active, async
144 50 zero_gravi
 
145 59 zero_gravi
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
146 62 zero_gravi
    jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
147
    jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
148
    jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
149 61 zero_gravi
    jtag_tdo_o     : out std_ulogic;        -- serial data output
150 62 zero_gravi
    jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
151 59 zero_gravi
 
152 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
153 61 zero_gravi
    wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
154
    wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
155 62 zero_gravi
    wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
156 61 zero_gravi
    wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
157
    wb_we_o        : out std_ulogic; -- read/write
158
    wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
159
    wb_stb_o       : out std_ulogic; -- strobe
160
    wb_cyc_o       : out std_ulogic; -- valid cycle
161
    wb_lock_o      : out std_ulogic; -- exclusive access request
162 62 zero_gravi
    wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
163
    wb_err_i       : in  std_ulogic := 'L'; -- transfer error
164 50 zero_gravi
 
165 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
166 61 zero_gravi
    fence_o        : out std_ulogic; -- indicates an executed FENCE operation
167
    fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
168 50 zero_gravi
 
169 61 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
170
    slink_tx_dat_o : out sdata_8x32_t; -- output data
171
    slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
172 62 zero_gravi
    slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
173 61 zero_gravi
 
174
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
175 62 zero_gravi
    slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
176
    slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
177 61 zero_gravi
    slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
178
 
179 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
180 61 zero_gravi
    gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
181 62 zero_gravi
    gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
182 50 zero_gravi
 
183
    -- primary UART0 (available if IO_UART0_EN = true) --
184 61 zero_gravi
    uart0_txd_o    : out std_ulogic; -- UART0 send data
185 62 zero_gravi
    uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
186 61 zero_gravi
    uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
187 62 zero_gravi
    uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
188 50 zero_gravi
 
189
    -- secondary UART1 (available if IO_UART1_EN = true) --
190 61 zero_gravi
    uart1_txd_o    : out std_ulogic; -- UART1 send data
191 62 zero_gravi
    uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
192 61 zero_gravi
    uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
193 62 zero_gravi
    uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
194 50 zero_gravi
 
195 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
196 61 zero_gravi
    spi_sck_o      : out std_ulogic; -- SPI serial clock
197
    spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
198 62 zero_gravi
    spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
199 61 zero_gravi
    spi_csn_o      : out std_ulogic_vector(07 downto 0); -- chip-select
200 50 zero_gravi
 
201 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
202 62 zero_gravi
    twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
203
    twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
204 50 zero_gravi
 
205 60 zero_gravi
    -- PWM (available if IO_PWM_NUM_CH > 0) --
206 61 zero_gravi
    pwm_o          : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
207 50 zero_gravi
 
208 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
209 62 zero_gravi
    cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
210 61 zero_gravi
    cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
211 50 zero_gravi
 
212 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
213 61 zero_gravi
    neoled_o       : out std_ulogic; -- async serial data line
214 52 zero_gravi
 
215 59 zero_gravi
    -- System time --
216 62 zero_gravi
    mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
217 61 zero_gravi
    mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
218 50 zero_gravi
 
219 61 zero_gravi
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
220 62 zero_gravi
    xirq_i         : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels
221 61 zero_gravi
 
222
    -- CPU interrupts --
223 62 zero_gravi
    mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
224
    msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
225
    mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
226 2 zero_gravi
  );
227
end neorv32_top;
228
 
229
architecture neorv32_top_rtl of neorv32_top is
230
 
231 61 zero_gravi
  -- CPU boot configuration --
232
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(INT_BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
233 12 zero_gravi
 
234 29 zero_gravi
  -- alignment check for internal memories --
235
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
236
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
237
 
238 61 zero_gravi
  -- helpers --
239
  constant io_slink_en_c : boolean := boolean(SLINK_NUM_RX > 0) or boolean(SLINK_NUM_TX > 0); -- implement slink at all?
240
 
241 2 zero_gravi
  -- reset generator --
242 63 zero_gravi
  signal rstn_gen : std_ulogic_vector(7 downto 0) := (others => '0'); -- initialize (=reset) via  (for FPGAs only)
243 60 zero_gravi
  signal ext_rstn : std_ulogic;
244
  signal sys_rstn : std_ulogic;
245
  signal wdt_rstn : std_ulogic;
246 2 zero_gravi
 
247
  -- clock generator --
248
  signal clk_div    : std_ulogic_vector(11 downto 0);
249
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
250
  signal clk_gen    : std_ulogic_vector(07 downto 0);
251 61 zero_gravi
  signal clk_gen_en : std_ulogic_vector(07 downto 0);
252 47 zero_gravi
  --
253 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
254
  signal uart0_cg_en  : std_ulogic;
255
  signal uart1_cg_en  : std_ulogic;
256
  signal spi_cg_en    : std_ulogic;
257
  signal twi_cg_en    : std_ulogic;
258
  signal pwm_cg_en    : std_ulogic;
259
  signal cfs_cg_en    : std_ulogic;
260
  signal neoled_cg_en : std_ulogic;
261 2 zero_gravi
 
262 12 zero_gravi
  -- bus interface --
263
  type bus_interface_t is record
264 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
265
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
266
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
267
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
268
    we     : std_ulogic; -- write enable
269
    re     : std_ulogic; -- read enable
270
    ack    : std_ulogic; -- bus transfer acknowledge
271
    err    : std_ulogic; -- bus transfer error
272 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
273 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
274 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
275 57 zero_gravi
    lock   : std_ulogic; -- exclusive access request
276 11 zero_gravi
  end record;
277 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
278 2 zero_gravi
 
279 59 zero_gravi
  -- debug core interface (DCI) --
280
  signal dci_ndmrstn  : std_ulogic;
281
  signal dci_halt_req : std_ulogic;
282
 
283
  -- debug module interface (DMI) --
284
  type dmi_t is record
285
    rstn       : std_ulogic;
286
    req_valid  : std_ulogic;
287
    req_ready  : std_ulogic; -- DMI is allowed to make new requests when set
288
    req_addr   : std_ulogic_vector(06 downto 0);
289
    req_op     : std_ulogic; -- 0=read, 1=write
290
    req_data   : std_ulogic_vector(31 downto 0);
291
    resp_valid : std_ulogic; -- response valid when set
292
    resp_ready : std_ulogic; -- ready to receive respond
293
    resp_data  : std_ulogic_vector(31 downto 0);
294
    resp_err   : std_ulogic; -- 0=ok, 1=error
295
  end record;
296
  signal dmi : dmi_t;
297
 
298 2 zero_gravi
  -- io space access --
299
  signal io_acc  : std_ulogic;
300
  signal io_rden : std_ulogic;
301
  signal io_wren : std_ulogic;
302
 
303 60 zero_gravi
  -- module response bus - entry type --
304
  type resp_bus_entry_t is record
305
    rdata : std_ulogic_vector(data_width_c-1 downto 0);
306
    ack   : std_ulogic;
307
    err   : std_ulogic;
308
  end record;
309
  constant resp_bus_entry_terminate_c : resp_bus_entry_t := (rdata => (others => '0'), ack => '0', err => '0');
310 2 zero_gravi
 
311 60 zero_gravi
  -- module response bus - device ID --
312
  type resp_bus_id_t is (RESP_IMEM, RESP_DMEM, RESP_BOOTROM, RESP_WISHBONE, RESP_GPIO, RESP_MTIME, RESP_UART0, RESP_UART1, RESP_SPI,
313 61 zero_gravi
                         RESP_TWI, RESP_PWM, RESP_WDT, RESP_TRNG, RESP_CFS, RESP_NEOLED, RESP_SYSINFO, RESP_OCD, RESP_SLINK, RESP_XIRQ);
314 60 zero_gravi
 
315
  -- module response bus --
316
  type resp_bus_t is array (resp_bus_id_t) of resp_bus_entry_t;
317
  signal resp_bus : resp_bus_t := (others => resp_bus_entry_terminate_c);
318
 
319 2 zero_gravi
  -- IRQs --
320 59 zero_gravi
  signal fast_irq      : std_ulogic_vector(15 downto 0);
321 60 zero_gravi
  signal mtime_irq     : std_ulogic;
322 50 zero_gravi
  signal wdt_irq       : std_ulogic;
323
  signal uart0_rxd_irq : std_ulogic;
324
  signal uart0_txd_irq : std_ulogic;
325
  signal uart1_rxd_irq : std_ulogic;
326
  signal uart1_txd_irq : std_ulogic;
327
  signal spi_irq       : std_ulogic;
328
  signal twi_irq       : std_ulogic;
329
  signal cfs_irq       : std_ulogic;
330 52 zero_gravi
  signal neoled_irq    : std_ulogic;
331 61 zero_gravi
  signal slink_tx_irq  : std_ulogic;
332
  signal slink_rx_irq  : std_ulogic;
333
  signal xirq_irq      : std_ulogic;
334 2 zero_gravi
 
335 11 zero_gravi
  -- misc --
336 60 zero_gravi
  signal mtime_time     : std_ulogic_vector(63 downto 0); -- current system time from MTIME
337
  signal bus_keeper_err : std_ulogic; -- bus keeper: bus access timeout
338 11 zero_gravi
 
339 2 zero_gravi
begin
340
 
341 61 zero_gravi
  -- Processor IO/Peripherals Configuration -------------------------------------------------
342
  -- -------------------------------------------------------------------------------------------
343
  assert false report
344
  "NEORV32 PROCESSOR IO Configuration: " &
345
  cond_sel_string_f(IO_GPIO_EN, "GPIO ", "") &
346
  cond_sel_string_f(IO_MTIME_EN, "MTIME ", "") &
347
  cond_sel_string_f(IO_UART0_EN, "UART0 ", "") &
348
  cond_sel_string_f(IO_UART1_EN, "UART1 ", "") &
349
  cond_sel_string_f(IO_SPI_EN, "SPI ", "") &
350
  cond_sel_string_f(IO_TWI_EN, "TWI ", "") &
351
  cond_sel_string_f(boolean(IO_PWM_NUM_CH > 0), "PWM ", "") &
352
  cond_sel_string_f(IO_WDT_EN, "WDT ", "") &
353
  cond_sel_string_f(IO_TRNG_EN, "TRNG ", "") &
354
  cond_sel_string_f(IO_CFS_EN, "CFS ", "") &
355
  cond_sel_string_f(io_slink_en_c, "SLINK ", "") &
356
  cond_sel_string_f(IO_NEOLED_EN, "NEOLED ", "") &
357
  cond_sel_string_f(boolean(XIRQ_NUM_CH > 0), "XIRQ ", "") &
358
  ""
359
  severity note;
360
 
361
 
362 2 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
363
  -- -------------------------------------------------------------------------------------------
364 61 zero_gravi
  -- boot configuration --
365
  assert not (INT_BOOTLOADER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM)." severity note;
366
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-internal IMEM)." severity note;
367
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-external (I)MEM)." severity note;
368
  --
369
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal IMEM." severity error;
370
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (INT_BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal IMEM and bootloader." severity error;
371
 
372 36 zero_gravi
  -- memory system - size --
373 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
374
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
375 61 zero_gravi
 
376 29 zero_gravi
  -- memory system - alignment --
377
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
378
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
379 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
380
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
381 61 zero_gravi
 
382 36 zero_gravi
  -- memory system - layout warning --
383 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
384
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
385 61 zero_gravi
 
386 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
387 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
388 61 zero_gravi
 
389 59 zero_gravi
  -- on-chip debugger --
390 61 zero_gravi
  assert not (ON_CHIP_DEBUGGER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing on-chip debugger (OCD)." severity note;
391 2 zero_gravi
 
392 59 zero_gravi
 
393 2 zero_gravi
  -- Reset Generator ------------------------------------------------------------------------
394
  -- -------------------------------------------------------------------------------------------
395 60 zero_gravi
  reset_generator: process(rstn_i, clk_i)
396 2 zero_gravi
  begin
397 60 zero_gravi
    if (rstn_i = '0') then
398 2 zero_gravi
      rstn_gen <= (others => '0');
399 60 zero_gravi
      sys_rstn <= '0';
400 2 zero_gravi
    elsif rising_edge(clk_i) then
401 60 zero_gravi
      -- keep internal reset active for at least <rstn_gen'size> clock cycles --
402 2 zero_gravi
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
403 60 zero_gravi
      -- system reset: can also be triggered by watchdog and debug module --
404
      sys_rstn <= ext_rstn and wdt_rstn and dci_ndmrstn;
405 2 zero_gravi
    end if;
406
  end process reset_generator;
407
 
408 60 zero_gravi
  -- beautified external reset signal --
409
  ext_rstn <= rstn_gen(rstn_gen'left);
410 2 zero_gravi
 
411
 
412
  -- Clock Generator ------------------------------------------------------------------------
413
  -- -------------------------------------------------------------------------------------------
414
  clock_generator: process(sys_rstn, clk_i)
415
  begin
416
    if (sys_rstn = '0') then
417 60 zero_gravi
      clk_gen_en <= (others => '-');
418 2 zero_gravi
      clk_div    <= (others => '0');
419 60 zero_gravi
      clk_div_ff <= (others => '-');
420
      clk_gen    <= (others => '-');
421 2 zero_gravi
    elsif rising_edge(clk_i) then
422 23 zero_gravi
      -- fresh clocks anyone? --
423 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
424
      clk_gen_en(1) <= uart0_cg_en;
425
      clk_gen_en(2) <= uart1_cg_en;
426
      clk_gen_en(3) <= spi_cg_en;
427
      clk_gen_en(4) <= twi_cg_en;
428
      clk_gen_en(5) <= pwm_cg_en;
429
      clk_gen_en(6) <= cfs_cg_en;
430 61 zero_gravi
      clk_gen_en(7) <= neoled_cg_en;
431 60 zero_gravi
      -- actual clock generator --
432
      if (or_reduce_f(clk_gen_en) = '1') then
433 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
434 2 zero_gravi
      end if;
435 60 zero_gravi
      -- clock enables: rising edge detectors --
436 23 zero_gravi
      clk_div_ff <= clk_div;
437
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
438
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
439
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
440
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
441
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
442
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
443
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
444
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
445
    end if;
446 60 zero_gravi
  end process clock_generator;
447 2 zero_gravi
 
448
 
449 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
450 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
451
  neorv32_cpu_inst: neorv32_cpu
452
  generic map (
453
    -- General --
454 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
455
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
456 59 zero_gravi
    CPU_DEBUG_ADDR               => dm_base_c,           -- cpu debug mode start address
457 2 zero_gravi
    -- RISC-V CPU Extensions --
458 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
459 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
460
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
461
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
462 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
463 63 zero_gravi
    CPU_EXTENSION_RISCV_Zbb      => CPU_EXTENSION_RISCV_Zbb,      -- implement basic bit-manipulation sub-extension?
464 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
465 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
466
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
467 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
468 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    => ON_CHIP_DEBUGGER_EN,          -- implement CPU debug mode?
469 19 zero_gravi
    -- Extension Options --
470 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
471
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
472 56 zero_gravi
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,       -- total width of CPU cycle and instret counters (0..64)
473 62 zero_gravi
    CPU_IPB_ENTRIES              => CPU_IPB_ENTRIES,     -- entries is instruction prefetch buffer, has to be a power of 2
474 15 zero_gravi
    -- Physical Memory Protection (PMP) --
475 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
476
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
477
    -- Hardware Performance Monitors (HPM) --
478 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,        -- number of implemented HPM counters (0..29)
479 60 zero_gravi
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH        -- total size of HPM counters (0..64)
480 2 zero_gravi
  )
481
  port map (
482
    -- global control --
483 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
484
    rstn_i         => sys_rstn,     -- global reset, low-active, async
485 65 zero_gravi
    sleep_o        => open,         -- cpu is in sleep mode when set
486 12 zero_gravi
    -- instruction bus interface --
487
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
488
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
489
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
490
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
491
    i_bus_we_o     => cpu_i.we,     -- write enable
492
    i_bus_re_o     => cpu_i.re,     -- read enable
493 57 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- exclusive access request
494 12 zero_gravi
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
495
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
496
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
497 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
498 12 zero_gravi
    -- data bus interface --
499
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
500
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
501
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
502
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
503
    d_bus_we_o     => cpu_d.we,     -- write enable
504
    d_bus_re_o     => cpu_d.re,     -- read enable
505 57 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- exclusive access request
506 12 zero_gravi
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
507
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
508
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
509 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
510 11 zero_gravi
    -- system time input from MTIME --
511 12 zero_gravi
    time_i         => mtime_time,   -- current system time
512 58 zero_gravi
    -- non-maskable interrupt --
513 64 zero_gravi
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
514
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
515 14 zero_gravi
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
516
    -- fast interrupts (custom) --
517 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
518 59 zero_gravi
    -- debug mode (halt) request --
519
    db_halt_req_i  => dci_halt_req
520 2 zero_gravi
  );
521
 
522 36 zero_gravi
  -- misc --
523 57 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
524
  cpu_d.src <= '0'; -- initialized but unused
525 36 zero_gravi
 
526 14 zero_gravi
  -- advanced memory control --
527
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
528
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
529 2 zero_gravi
 
530 61 zero_gravi
  -- fast interrupts --
531 50 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog timeout
532
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
533
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) data received
534
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) sending done
535
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) data received
536
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) sending done
537
  fast_irq(06) <= spi_irq;       -- SPI transmission done
538
  fast_irq(07) <= twi_irq;       -- TWI transmission done
539 61 zero_gravi
  fast_irq(08) <= xirq_irq;      -- external interrupt controller
540 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
541 61 zero_gravi
  fast_irq(10) <= slink_rx_irq;  -- SLINK data received
542
  fast_irq(11) <= slink_tx_irq;  -- SLINK data send
543
  --
544 62 zero_gravi
  fast_irq(12) <= '0'; -- reserved
545
  fast_irq(13) <= '0'; -- reserved
546
  fast_irq(14) <= '0'; -- reserved
547
  fast_irq(15) <= '0'; -- reserved
548 14 zero_gravi
 
549
 
550 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
551
  -- -------------------------------------------------------------------------------------------
552
  neorv32_icache_inst_true:
553 44 zero_gravi
  if (ICACHE_EN = true) generate
554 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
555 41 zero_gravi
    generic map (
556 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
557
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
558
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
559 41 zero_gravi
    )
560
    port map (
561
      -- global control --
562
      clk_i         => clk_i,          -- global clock, rising edge
563
      rstn_i        => sys_rstn,       -- global reset, low-active, async
564
      clear_i       => cpu_i.fence,    -- cache clear
565
      -- host controller interface --
566
      host_addr_i   => cpu_i.addr,     -- bus access address
567
      host_rdata_o  => cpu_i.rdata,    -- bus read data
568
      host_wdata_i  => cpu_i.wdata,    -- bus write data
569
      host_ben_i    => cpu_i.ben,      -- byte enable
570
      host_we_i     => cpu_i.we,       -- write enable
571
      host_re_i     => cpu_i.re,       -- read enable
572
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
573
      host_err_o    => cpu_i.err,      -- bus transfer error
574
      -- peripheral bus interface --
575
      bus_addr_o    => i_cache.addr,   -- bus access address
576
      bus_rdata_i   => i_cache.rdata,  -- bus read data
577
      bus_wdata_o   => i_cache.wdata,  -- bus write data
578
      bus_ben_o     => i_cache.ben,    -- byte enable
579
      bus_we_o      => i_cache.we,     -- write enable
580
      bus_re_o      => i_cache.re,     -- read enable
581
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
582
      bus_err_i     => i_cache.err     -- bus transfer error
583
    );
584
  end generate;
585
 
586 57 zero_gravi
  -- TODO: do not use LOCKED instruction fetch --
587
  i_cache.lock <= '0';
588
 
589 41 zero_gravi
  neorv32_icache_inst_false:
590 44 zero_gravi
  if (ICACHE_EN = false) generate
591 57 zero_gravi
    i_cache.addr  <= cpu_i.addr;
592
    cpu_i.rdata   <= i_cache.rdata;
593
    i_cache.wdata <= cpu_i.wdata;
594
    i_cache.ben   <= cpu_i.ben;
595
    i_cache.we    <= cpu_i.we;
596
    i_cache.re    <= cpu_i.re;
597
    cpu_i.ack     <= i_cache.ack;
598
    cpu_i.err     <= i_cache.err;
599 41 zero_gravi
  end generate;
600
 
601
 
602 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
603 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
604
  neorv32_busswitch_inst: neorv32_busswitch
605
  generic map (
606
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
607
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
608
  )
609
  port map (
610
    -- global control --
611 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
612
    rstn_i          => sys_rstn,       -- global reset, low-active, async
613 12 zero_gravi
    -- controller interface a --
614 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
615
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
616
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
617
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
618
    ca_bus_we_i     => cpu_d.we,       -- write enable
619
    ca_bus_re_i     => cpu_d.re,       -- read enable
620 57 zero_gravi
    ca_bus_lock_i   => cpu_d.lock,     -- exclusive access request
621 41 zero_gravi
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
622
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
623 12 zero_gravi
    -- controller interface b --
624 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
625
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
626
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
627
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
628
    cb_bus_we_i     => i_cache.we,     -- write enable
629
    cb_bus_re_i     => i_cache.re,     -- read enable
630 57 zero_gravi
    cb_bus_lock_i   => i_cache.lock,   -- exclusive access request
631 41 zero_gravi
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
632
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
633 12 zero_gravi
    -- peripheral bus --
634 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
635
    p_bus_addr_o    => p_bus.addr,     -- bus access address
636
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
637
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
638
    p_bus_ben_o     => p_bus.ben,      -- byte enable
639
    p_bus_we_o      => p_bus.we,       -- write enable
640
    p_bus_re_o      => p_bus.re,       -- read enable
641 57 zero_gravi
    p_bus_lock_o    => p_bus.lock,     -- exclusive access request
642 41 zero_gravi
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
643
    p_bus_err_i     => p_bus.err       -- bus transfer error
644 12 zero_gravi
  );
645 2 zero_gravi
 
646 60 zero_gravi
  -- current CPU privilege level --
647
  p_bus.priv <= cpu_i.priv; -- note: cpu_i.priv == cpu_d.priv
648 53 zero_gravi
 
649 60 zero_gravi
  -- fence operation (unused) --
650
  p_bus.fence <= cpu_d.fence or cpu_i.fence;
651 2 zero_gravi
 
652 60 zero_gravi
  -- bus response --
653
  bus_response: process(resp_bus, bus_keeper_err)
654
    variable rdata_v : std_ulogic_vector(data_width_c-1 downto 0);
655
    variable ack_v   : std_ulogic;
656
    variable err_v   : std_ulogic;
657
  begin
658
    rdata_v := (others => '0');
659
    ack_v   := '0';
660
    err_v   := '0';
661
    for i in resp_bus'range loop
662
      rdata_v := rdata_v or resp_bus(i).rdata; -- read data
663
      ack_v   := ack_v   or resp_bus(i).ack;   -- acknowledge
664
      err_v   := err_v   or resp_bus(i).err;   -- error
665
    end loop; -- i
666
    p_bus.rdata <= rdata_v; -- processor bus: CPU transfer data input
667
    p_bus.ack   <= ack_v;   -- processor bus: CPU transfer ACK input
668
    p_bus.err   <= err_v or bus_keeper_err; -- processor bus: CPU transfer data bus error input
669
  end process;
670 12 zero_gravi
 
671
 
672 59 zero_gravi
  -- Processor-Internal Bus Keeper (BUS_KEEPER) ---------------------------------------------
673 57 zero_gravi
  -- -------------------------------------------------------------------------------------------
674
  neorv32_bus_keeper_inst: neorv32_bus_keeper
675
  generic map (
676 59 zero_gravi
    -- External memory interface --
677
    MEM_EXT_EN        => MEM_EXT_EN,        -- implement external memory bus interface?
678 57 zero_gravi
    -- Internal instruction memory --
679
    MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,   -- implement processor-internal instruction memory
680
    MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes
681
    -- Internal data memory --
682
    MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,   -- implement processor-internal data memory
683
    MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE  -- size of processor-internal data memory in bytes
684
  )
685
  port map (
686
    -- host access --
687
    clk_i  => clk_i,         -- global clock line
688
    rstn_i => sys_rstn,      -- global reset line, low-active
689
    addr_i => p_bus.addr,    -- address
690
    rden_i => p_bus.re,      -- read enable
691
    wren_i => p_bus.we,      -- write enable
692
    ack_i  => p_bus.ack,     -- transfer acknowledge from bus system
693
    err_i  => p_bus.err,     -- transfer error from bus system
694
    err_o  => bus_keeper_err -- bus error
695
  );
696 36 zero_gravi
 
697 57 zero_gravi
 
698 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
699
  -- -------------------------------------------------------------------------------------------
700
  neorv32_int_imem_inst_true:
701 44 zero_gravi
  if (MEM_INT_IMEM_EN = true) generate
702 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
703
    generic map (
704 61 zero_gravi
      IMEM_BASE    => imem_base_c,          -- memory base address
705
      IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- processor-internal instruction memory size in bytes
706
      IMEM_AS_IROM => not INT_BOOTLOADER_EN -- implement IMEM as pre-initialized read-only memory?
707 2 zero_gravi
    )
708
    port map (
709 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
710
      rden_i => p_bus.re,                  -- read enable
711
      wren_i => p_bus.we,                  -- write enable
712
      ben_i  => p_bus.ben,                 -- byte write enable
713
      addr_i => p_bus.addr,                -- address
714
      data_i => p_bus.wdata,               -- data in
715
      data_o => resp_bus(RESP_IMEM).rdata, -- data out
716
      ack_o  => resp_bus(RESP_IMEM).ack    -- transfer acknowledge
717 2 zero_gravi
    );
718 60 zero_gravi
    resp_bus(RESP_IMEM).err <= '0'; -- no access error possible
719 2 zero_gravi
  end generate;
720
 
721
  neorv32_int_imem_inst_false:
722 44 zero_gravi
  if (MEM_INT_IMEM_EN = false) generate
723 60 zero_gravi
    resp_bus(RESP_IMEM) <= resp_bus_entry_terminate_c;
724 2 zero_gravi
  end generate;
725
 
726
 
727
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
728
  -- -------------------------------------------------------------------------------------------
729
  neorv32_int_dmem_inst_true:
730 44 zero_gravi
  if (MEM_INT_DMEM_EN = true) generate
731 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
732
    generic map (
733 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
734 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
735
    )
736
    port map (
737 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
738
      rden_i => p_bus.re,                  -- read enable
739
      wren_i => p_bus.we,                  -- write enable
740
      ben_i  => p_bus.ben,                 -- byte write enable
741
      addr_i => p_bus.addr,                -- address
742
      data_i => p_bus.wdata,               -- data in
743
      data_o => resp_bus(RESP_DMEM).rdata, -- data out
744
      ack_o  => resp_bus(RESP_DMEM).ack    -- transfer acknowledge
745 2 zero_gravi
    );
746 60 zero_gravi
    resp_bus(RESP_DMEM).err <= '0'; -- no access error possible
747 2 zero_gravi
  end generate;
748
 
749
  neorv32_int_dmem_inst_false:
750 44 zero_gravi
  if (MEM_INT_DMEM_EN = false) generate
751 60 zero_gravi
    resp_bus(RESP_DMEM) <= resp_bus_entry_terminate_c;
752 2 zero_gravi
  end generate;
753
 
754
 
755
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
756
  -- -------------------------------------------------------------------------------------------
757
  neorv32_boot_rom_inst_true:
758 61 zero_gravi
  if (INT_BOOTLOADER_EN = true) generate
759 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
760 23 zero_gravi
    generic map (
761 61 zero_gravi
      BOOTROM_BASE => boot_rom_base_c -- boot ROM base address
762 23 zero_gravi
    )
763 2 zero_gravi
    port map (
764 60 zero_gravi
      clk_i  => clk_i,                        -- global clock line
765
      rden_i => p_bus.re,                     -- read enable
766
      addr_i => p_bus.addr,                   -- address
767
      data_o => resp_bus(RESP_BOOTROM).rdata, -- data out
768
      ack_o  => resp_bus(RESP_BOOTROM).ack    -- transfer acknowledge
769 2 zero_gravi
    );
770 60 zero_gravi
    resp_bus(RESP_BOOTROM).err <= '0'; -- no access error possible
771 2 zero_gravi
  end generate;
772
 
773
  neorv32_boot_rom_inst_false:
774 61 zero_gravi
  if (INT_BOOTLOADER_EN = false) generate
775 60 zero_gravi
    resp_bus(RESP_BOOTROM) <= resp_bus_entry_terminate_c;
776 2 zero_gravi
  end generate;
777
 
778
 
779
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
780
  -- -------------------------------------------------------------------------------------------
781
  neorv32_wishbone_inst_true:
782 44 zero_gravi
  if (MEM_EXT_EN = true) generate
783 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
784
    generic map (
785 23 zero_gravi
      -- Internal instruction memory --
786 62 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
787
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
788 23 zero_gravi
      -- Internal data memory --
789 62 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
790
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
791
      -- Interface Configuration --
792
      BUS_TIMEOUT       => MEM_EXT_TIMEOUT,    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
793
      PIPE_MODE         => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
794
      BIG_ENDIAN        => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
795
      ASYNC_RX          => MEM_EXT_ASYNC_RX    -- use register buffer for RX data when false
796 2 zero_gravi
    )
797
    port map (
798
      -- global control --
799 60 zero_gravi
      clk_i     => clk_i,                         -- global clock line
800
      rstn_i    => sys_rstn,                      -- global reset line, low-active
801 2 zero_gravi
      -- host access --
802 60 zero_gravi
      src_i     => p_bus.src,                     -- access type (0: data, 1:instruction)
803
      addr_i    => p_bus.addr,                    -- address
804
      rden_i    => p_bus.re,                      -- read enable
805
      wren_i    => p_bus.we,                      -- write enable
806
      ben_i     => p_bus.ben,                     -- byte write enable
807
      data_i    => p_bus.wdata,                   -- data in
808
      data_o    => resp_bus(RESP_WISHBONE).rdata, -- data out
809
      lock_i    => p_bus.lock,                    -- exclusive access request
810
      ack_o     => resp_bus(RESP_WISHBONE).ack,   -- transfer acknowledge
811
      err_o     => resp_bus(RESP_WISHBONE).err,   -- transfer error
812
      priv_i    => p_bus.priv,                    -- current CPU privilege level
813 2 zero_gravi
      -- wishbone interface --
814 60 zero_gravi
      wb_tag_o  => wb_tag_o,                      -- request tag
815
      wb_adr_o  => wb_adr_o,                      -- address
816
      wb_dat_i  => wb_dat_i,                      -- read data
817
      wb_dat_o  => wb_dat_o,                      -- write data
818
      wb_we_o   => wb_we_o,                       -- read/write
819
      wb_sel_o  => wb_sel_o,                      -- byte enable
820
      wb_stb_o  => wb_stb_o,                      -- strobe
821
      wb_cyc_o  => wb_cyc_o,                      -- valid cycle
822
      wb_lock_o => wb_lock_o,                     -- exclusive access request
823
      wb_ack_i  => wb_ack_i,                      -- transfer acknowledge
824
      wb_err_i  => wb_err_i                       -- transfer error
825 2 zero_gravi
    );
826
  end generate;
827
 
828
  neorv32_wishbone_inst_false:
829 44 zero_gravi
  if (MEM_EXT_EN = false) generate
830 60 zero_gravi
    resp_bus(RESP_WISHBONE) <= resp_bus_entry_terminate_c;
831 2 zero_gravi
    --
832 60 zero_gravi
    wb_adr_o  <= (others => '0');
833
    wb_dat_o  <= (others => '0');
834
    wb_we_o   <= '0';
835
    wb_sel_o  <= (others => '0');
836
    wb_stb_o  <= '0';
837
    wb_cyc_o  <= '0';
838
    wb_lock_o <= '0';
839
    wb_tag_o  <= (others => '0');
840 2 zero_gravi
  end generate;
841
 
842
 
843
  -- IO Access? -----------------------------------------------------------------------------
844
  -- -------------------------------------------------------------------------------------------
845 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
846 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
847 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
848 60 zero_gravi
  io_wren <= io_acc and p_bus.we and and_reduce_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
849 2 zero_gravi
 
850
 
851 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
852
  -- -------------------------------------------------------------------------------------------
853
  neorv32_cfs_inst_true:
854
  if (IO_CFS_EN = true) generate
855
    neorv32_cfs_inst: neorv32_cfs
856
    generic map (
857 61 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic
858 52 zero_gravi
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
859
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
860 47 zero_gravi
    )
861
    port map (
862
      -- host access --
863 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
864
      rstn_i      => sys_rstn,                 -- global reset line, low-active, use as async
865
      addr_i      => p_bus.addr,               -- address
866
      rden_i      => io_rden,                  -- read enable
867
      wren_i      => io_wren,                  -- byte write enable
868
      data_i      => p_bus.wdata,              -- data in
869
      data_o      => resp_bus(RESP_CFS).rdata, -- data out
870
      ack_o       => resp_bus(RESP_CFS).ack,   -- transfer acknowledge
871 47 zero_gravi
      -- clock generator --
872 60 zero_gravi
      clkgen_en_o => cfs_cg_en,                -- enable clock generator
873
      clkgen_i    => clk_gen,                  -- "clock" inputs
874 47 zero_gravi
      -- interrupt --
875 60 zero_gravi
      irq_o       => cfs_irq,                  -- interrupt request
876 47 zero_gravi
      -- custom io (conduit) --
877 60 zero_gravi
      cfs_in_i    => cfs_in_i,                 -- custom inputs
878
      cfs_out_o   => cfs_out_o                 -- custom outputs
879 47 zero_gravi
    );
880 60 zero_gravi
    resp_bus(RESP_CFS).err <= '0'; -- no access error possible
881 47 zero_gravi
  end generate;
882
 
883
  neorv32_cfs_inst_false:
884
  if (IO_CFS_EN = false) generate
885 60 zero_gravi
    resp_bus(RESP_CFS) <= resp_bus_entry_terminate_c;
886 47 zero_gravi
    cfs_cg_en <= '0';
887
    cfs_irq   <= '0';
888
    cfs_out_o <= (others => '0');
889
  end generate;
890
 
891
 
892 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
893
  -- -------------------------------------------------------------------------------------------
894
  neorv32_gpio_inst_true:
895 44 zero_gravi
  if (IO_GPIO_EN = true) generate
896 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
897
    port map (
898
      -- host access --
899 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
900
      addr_i => p_bus.addr,                -- address
901
      rden_i => io_rden,                   -- read enable
902
      wren_i => io_wren,                   -- write enable
903
      data_i => p_bus.wdata,               -- data in
904
      data_o => resp_bus(RESP_GPIO).rdata, -- data out
905
      ack_o  => resp_bus(RESP_GPIO).ack,   -- transfer acknowledge
906 2 zero_gravi
      -- parallel io --
907
      gpio_o => gpio_o,
908 61 zero_gravi
      gpio_i => gpio_i
909 2 zero_gravi
    );
910 60 zero_gravi
    resp_bus(RESP_GPIO).err <= '0'; -- no access error possible
911 2 zero_gravi
  end generate;
912
 
913
  neorv32_gpio_inst_false:
914 44 zero_gravi
  if (IO_GPIO_EN = false) generate
915 60 zero_gravi
    resp_bus(RESP_GPIO) <= resp_bus_entry_terminate_c;
916 61 zero_gravi
    gpio_o <= (others => '0');
917 2 zero_gravi
  end generate;
918
 
919
 
920
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
921
  -- -------------------------------------------------------------------------------------------
922
  neorv32_wdt_inst_true:
923 44 zero_gravi
  if (IO_WDT_EN = true) generate
924 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
925
    port map (
926
      -- host access --
927 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
928
      rstn_i      => ext_rstn,                 -- global reset line, low-active
929
      rden_i      => io_rden,                  -- read enable
930
      wren_i      => io_wren,                  -- write enable
931
      addr_i      => p_bus.addr,               -- address
932
      data_i      => p_bus.wdata,              -- data in
933
      data_o      => resp_bus(RESP_WDT).rdata, -- data out
934
      ack_o       => resp_bus(RESP_WDT).ack,   -- transfer acknowledge
935 2 zero_gravi
      -- clock generator --
936 60 zero_gravi
      clkgen_en_o => wdt_cg_en,                -- enable clock generator
937 2 zero_gravi
      clkgen_i    => clk_gen,
938
      -- timeout event --
939 60 zero_gravi
      irq_o       => wdt_irq,                  -- timeout IRQ
940
      rstn_o      => wdt_rstn                  -- timeout reset, low_active, use it as async!
941 2 zero_gravi
    );
942 60 zero_gravi
    resp_bus(RESP_WDT).err <= '0'; -- no access error possible
943 2 zero_gravi
  end generate;
944
 
945
  neorv32_wdt_inst_false:
946 44 zero_gravi
  if (IO_WDT_EN = false) generate
947 60 zero_gravi
    resp_bus(RESP_WDT) <= resp_bus_entry_terminate_c;
948 2 zero_gravi
    wdt_irq   <= '0';
949
    wdt_rstn  <= '1';
950
    wdt_cg_en <= '0';
951
  end generate;
952
 
953
 
954
  -- Machine System Timer (MTIME) -----------------------------------------------------------
955
  -- -------------------------------------------------------------------------------------------
956
  neorv32_mtime_inst_true:
957 44 zero_gravi
  if (IO_MTIME_EN = true) generate
958 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
959
    port map (
960
      -- host access --
961 60 zero_gravi
      clk_i  => clk_i,                      -- global clock line
962
      addr_i => p_bus.addr,                 -- address
963
      rden_i => io_rden,                    -- read enable
964
      wren_i => io_wren,                    -- write enable
965
      data_i => p_bus.wdata,                -- data in
966
      data_o => resp_bus(RESP_MTIME).rdata, -- data out
967
      ack_o  => resp_bus(RESP_MTIME).ack,   -- transfer acknowledge
968 11 zero_gravi
      -- time output for CPU --
969 60 zero_gravi
      time_o => mtime_time,                 -- current system time
970 2 zero_gravi
      -- interrupt --
971 60 zero_gravi
      irq_o  => mtime_irq                   -- interrupt request
972 2 zero_gravi
    );
973 60 zero_gravi
    resp_bus(RESP_MTIME).err <= '0'; -- no access error possible
974 2 zero_gravi
  end generate;
975
 
976
  neorv32_mtime_inst_false:
977 44 zero_gravi
  if (IO_MTIME_EN = false) generate
978 60 zero_gravi
    resp_bus(RESP_MTIME) <= resp_bus_entry_terminate_c;
979
    mtime_time <= mtime_i; -- use external machine timer time signal
980 64 zero_gravi
    mtime_irq  <= mtime_irq_i; -- use external machine timer interrupt
981 2 zero_gravi
  end generate;
982
 
983
 
984 60 zero_gravi
  -- system time output LO --
985
  mtime_sync: process(clk_i)
986
  begin
987
    if rising_edge(clk_i) then
988
      -- buffer low word one clock cycle to compensate for MTIME's 1-cycle delay
989
      -- when overflowing from low-word to high-word -> only relevant for processor-external devices
990
      -- processor-internal devices (= the CPU) do not care about this delay offset as 64-bit MTIME.TIME
991
      -- cannot be accessed within a single cycle
992
      if (IO_MTIME_EN = true) then
993
        mtime_o(31 downto 0) <= mtime_time(31 downto 0);
994
      else
995
        mtime_o(31 downto 0) <= (others => '0');
996
      end if;
997
    end if;
998
  end process mtime_sync;
999 59 zero_gravi
 
1000 60 zero_gravi
  -- system time output HI --
1001
  mtime_o(63 downto 32) <= mtime_time(63 downto 32) when (IO_MTIME_EN = true) else (others => '0');
1002
 
1003
 
1004 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
1005 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1006 50 zero_gravi
  neorv32_uart0_inst_true:
1007
  if (IO_UART0_EN = true) generate
1008
    neorv32_uart0_inst: neorv32_uart
1009
    generic map (
1010 65 zero_gravi
      UART_PRIMARY => true,             -- true = primary UART (UART0), false = secondary UART (UART1)
1011
      UART_RX_FIFO => IO_UART0_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1
1012
      UART_TX_FIFO => IO_UART0_TX_FIFO  -- TX fifo depth, has to be a power of two, min 1
1013 50 zero_gravi
    )
1014 2 zero_gravi
    port map (
1015
      -- host access --
1016 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1017
      addr_i      => p_bus.addr,                 -- address
1018
      rden_i      => io_rden,                    -- read enable
1019
      wren_i      => io_wren,                    -- write enable
1020
      data_i      => p_bus.wdata,                -- data in
1021
      data_o      => resp_bus(RESP_UART0).rdata, -- data out
1022
      ack_o       => resp_bus(RESP_UART0).ack,   -- transfer acknowledge
1023 2 zero_gravi
      -- clock generator --
1024 60 zero_gravi
      clkgen_en_o => uart0_cg_en,                -- enable clock generator
1025 2 zero_gravi
      clkgen_i    => clk_gen,
1026
      -- com lines --
1027 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
1028
      uart_rxd_i  => uart0_rxd_i,
1029 51 zero_gravi
      -- hardware flow control --
1030 60 zero_gravi
      uart_rts_o  => uart0_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1031
      uart_cts_i  => uart0_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1032 2 zero_gravi
      -- interrupts --
1033 60 zero_gravi
      irq_rxd_o   => uart0_rxd_irq,              -- uart data received interrupt
1034
      irq_txd_o   => uart0_txd_irq               -- uart transmission done interrupt
1035 2 zero_gravi
    );
1036 60 zero_gravi
    resp_bus(RESP_UART0).err <= '0'; -- no access error possible
1037 2 zero_gravi
  end generate;
1038
 
1039 50 zero_gravi
  neorv32_uart0_inst_false:
1040
  if (IO_UART0_EN = false) generate
1041 60 zero_gravi
    resp_bus(RESP_UART0) <= resp_bus_entry_terminate_c;
1042 50 zero_gravi
    uart0_txd_o   <= '0';
1043 51 zero_gravi
    uart0_rts_o   <= '0';
1044 50 zero_gravi
    uart0_cg_en   <= '0';
1045
    uart0_rxd_irq <= '0';
1046
    uart0_txd_irq <= '0';
1047 2 zero_gravi
  end generate;
1048
 
1049
 
1050 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
1051 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
1052
  neorv32_uart1_inst_true:
1053
  if (IO_UART1_EN = true) generate
1054
    neorv32_uart1_inst: neorv32_uart
1055
    generic map (
1056 65 zero_gravi
      UART_PRIMARY => false,            -- true = primary UART (UART0), false = secondary UART (UART1)
1057
      UART_RX_FIFO => IO_UART1_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1
1058
      UART_TX_FIFO => IO_UART1_TX_FIFO  -- TX fifo depth, has to be a power of two, min 1
1059 50 zero_gravi
    )
1060
    port map (
1061
      -- host access --
1062 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1063
      addr_i      => p_bus.addr,                 -- address
1064
      rden_i      => io_rden,                    -- read enable
1065
      wren_i      => io_wren,                    -- write enable
1066
      data_i      => p_bus.wdata,                -- data in
1067
      data_o      => resp_bus(RESP_UART1).rdata, -- data out
1068
      ack_o       => resp_bus(RESP_UART1).ack,   -- transfer acknowledge
1069 50 zero_gravi
      -- clock generator --
1070 60 zero_gravi
      clkgen_en_o => uart1_cg_en,                -- enable clock generator
1071 50 zero_gravi
      clkgen_i    => clk_gen,
1072
      -- com lines --
1073
      uart_txd_o  => uart1_txd_o,
1074
      uart_rxd_i  => uart1_rxd_i,
1075 51 zero_gravi
      -- hardware flow control --
1076 60 zero_gravi
      uart_rts_o  => uart1_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1077
      uart_cts_i  => uart1_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1078 50 zero_gravi
      -- interrupts --
1079 60 zero_gravi
      irq_rxd_o   => uart1_rxd_irq,              -- uart data received interrupt
1080
      irq_txd_o   => uart1_txd_irq               -- uart transmission done interrupt
1081 50 zero_gravi
    );
1082 60 zero_gravi
    resp_bus(RESP_UART1).err <= '0'; -- no access error possible
1083 50 zero_gravi
  end generate;
1084
 
1085
  neorv32_uart1_inst_false:
1086
  if (IO_UART1_EN = false) generate
1087 60 zero_gravi
    resp_bus(RESP_UART1) <= resp_bus_entry_terminate_c;
1088 50 zero_gravi
    uart1_txd_o   <= '0';
1089 51 zero_gravi
    uart1_rts_o   <= '0';
1090 50 zero_gravi
    uart1_cg_en   <= '0';
1091
    uart1_rxd_irq <= '0';
1092
    uart1_txd_irq <= '0';
1093
  end generate;
1094
 
1095
 
1096 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1097
  -- -------------------------------------------------------------------------------------------
1098
  neorv32_spi_inst_true:
1099 44 zero_gravi
  if (IO_SPI_EN = true) generate
1100 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1101
    port map (
1102
      -- host access --
1103 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1104
      addr_i      => p_bus.addr,               -- address
1105
      rden_i      => io_rden,                  -- read enable
1106
      wren_i      => io_wren,                  -- write enable
1107
      data_i      => p_bus.wdata,              -- data in
1108
      data_o      => resp_bus(RESP_SPI).rdata, -- data out
1109
      ack_o       => resp_bus(RESP_SPI).ack,   -- transfer acknowledge
1110 2 zero_gravi
      -- clock generator --
1111 60 zero_gravi
      clkgen_en_o => spi_cg_en,                -- enable clock generator
1112 2 zero_gravi
      clkgen_i    => clk_gen,
1113
      -- com lines --
1114 60 zero_gravi
      spi_sck_o   => spi_sck_o,                -- SPI serial clock
1115
      spi_sdo_o   => spi_sdo_o,                -- controller data out, peripheral data in
1116
      spi_sdi_i   => spi_sdi_i,                -- controller data in, peripheral data out
1117
      spi_csn_o   => spi_csn_o,                -- SPI CS
1118 2 zero_gravi
      -- interrupt --
1119 60 zero_gravi
      irq_o       => spi_irq                   -- transmission done interrupt
1120 2 zero_gravi
    );
1121 60 zero_gravi
    resp_bus(RESP_SPI).err <= '0'; -- no access error possible
1122 2 zero_gravi
  end generate;
1123
 
1124
  neorv32_spi_inst_false:
1125 44 zero_gravi
  if (IO_SPI_EN = false) generate
1126 60 zero_gravi
    resp_bus(RESP_SPI) <= resp_bus_entry_terminate_c;
1127
    spi_sck_o <= '0';
1128
    spi_sdo_o <= '0';
1129
    spi_csn_o <= (others => '1'); -- CSn lines are low-active
1130
    spi_cg_en <= '0';
1131
    spi_irq   <= '0';
1132 2 zero_gravi
  end generate;
1133
 
1134
 
1135
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1136
  -- -------------------------------------------------------------------------------------------
1137
  neorv32_twi_inst_true:
1138 44 zero_gravi
  if (IO_TWI_EN = true) generate
1139 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1140
    port map (
1141
      -- host access --
1142 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1143
      addr_i      => p_bus.addr,               -- address
1144
      rden_i      => io_rden,                  -- read enable
1145
      wren_i      => io_wren,                  -- write enable
1146
      data_i      => p_bus.wdata,              -- data in
1147
      data_o      => resp_bus(RESP_TWI).rdata, -- data out
1148
      ack_o       => resp_bus(RESP_TWI).ack,   -- transfer acknowledge
1149 2 zero_gravi
      -- clock generator --
1150 60 zero_gravi
      clkgen_en_o => twi_cg_en,                -- enable clock generator
1151 2 zero_gravi
      clkgen_i    => clk_gen,
1152
      -- com lines --
1153 60 zero_gravi
      twi_sda_io  => twi_sda_io,               -- serial data line
1154
      twi_scl_io  => twi_scl_io,               -- serial clock line
1155 2 zero_gravi
      -- interrupt --
1156 60 zero_gravi
      irq_o       => twi_irq                   -- transfer done IRQ
1157 2 zero_gravi
    );
1158 60 zero_gravi
    resp_bus(RESP_TWI).err <= '0'; -- no access error possible
1159 2 zero_gravi
  end generate;
1160
 
1161
  neorv32_twi_inst_false:
1162 44 zero_gravi
  if (IO_TWI_EN = false) generate
1163 60 zero_gravi
    resp_bus(RESP_TWI) <= resp_bus_entry_terminate_c;
1164 65 zero_gravi
    twi_sda_io <= 'Z';
1165
    twi_scl_io <= 'Z';
1166 2 zero_gravi
    twi_cg_en  <= '0';
1167
    twi_irq    <= '0';
1168
  end generate;
1169
 
1170
 
1171
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1172
  -- -------------------------------------------------------------------------------------------
1173
  neorv32_pwm_inst_true:
1174 60 zero_gravi
  if (IO_PWM_NUM_CH > 0) generate
1175 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1176 60 zero_gravi
    generic map (
1177
      NUM_CHANNELS => IO_PWM_NUM_CH -- number of PWM channels (0..60)
1178
    )
1179 2 zero_gravi
    port map (
1180
      -- host access --
1181 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1182
      addr_i      => p_bus.addr,               -- address
1183
      rden_i      => io_rden,                  -- read enable
1184
      wren_i      => io_wren,                  -- write enable
1185
      data_i      => p_bus.wdata,              -- data in
1186
      data_o      => resp_bus(RESP_PWM).rdata, -- data out
1187
      ack_o       => resp_bus(RESP_PWM).ack,   -- transfer acknowledge
1188 2 zero_gravi
      -- clock generator --
1189 60 zero_gravi
      clkgen_en_o => pwm_cg_en,                -- enable clock generator
1190 2 zero_gravi
      clkgen_i    => clk_gen,
1191
      -- pwm output channels --
1192
      pwm_o       => pwm_o
1193
    );
1194 60 zero_gravi
    resp_bus(RESP_PWM).err <= '0'; -- no access error possible
1195 2 zero_gravi
  end generate;
1196
 
1197
  neorv32_pwm_inst_false:
1198 60 zero_gravi
  if (IO_PWM_NUM_CH = 0) generate
1199
    resp_bus(RESP_PWM) <= resp_bus_entry_terminate_c;
1200 2 zero_gravi
    pwm_cg_en <= '0';
1201
    pwm_o     <= (others => '0');
1202
  end generate;
1203
 
1204
 
1205
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1206
  -- -------------------------------------------------------------------------------------------
1207
  neorv32_trng_inst_true:
1208 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1209 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1210
    port map (
1211
      -- host access --
1212 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
1213
      addr_i => p_bus.addr,                -- address
1214
      rden_i => io_rden,                   -- read enable
1215
      wren_i => io_wren,                   -- write enable
1216
      data_i => p_bus.wdata,               -- data in
1217
      data_o => resp_bus(RESP_TRNG).rdata, -- data out
1218
      ack_o  => resp_bus(RESP_TRNG).ack    -- transfer acknowledge
1219 2 zero_gravi
    );
1220 60 zero_gravi
    resp_bus(RESP_TRNG).err <= '0'; -- no access error possible
1221 2 zero_gravi
  end generate;
1222
 
1223
  neorv32_trng_inst_false:
1224 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1225 60 zero_gravi
    resp_bus(RESP_TRNG) <= resp_bus_entry_terminate_c;
1226 2 zero_gravi
  end generate;
1227
 
1228
 
1229 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1230
  -- -------------------------------------------------------------------------------------------
1231
  neorv32_neoled_inst_true:
1232
  if (IO_NEOLED_EN = true) generate
1233
    neorv32_neoled_inst: neorv32_neoled
1234 62 zero_gravi
    generic map (
1235
      FIFO_DEPTH => IO_NEOLED_TX_FIFO -- TX FIFO depth (1..32k, power of two)
1236
    )
1237 52 zero_gravi
    port map (
1238
      -- host access --
1239 60 zero_gravi
      clk_i       => clk_i,                       -- global clock line
1240
      addr_i      => p_bus.addr,                  -- address
1241
      rden_i      => io_rden,                     -- read enable
1242
      wren_i      => io_wren,                     -- write enable
1243
      data_i      => p_bus.wdata,                 -- data in
1244
      data_o      => resp_bus(RESP_NEOLED).rdata, -- data out
1245
      ack_o       => resp_bus(RESP_NEOLED).ack,   -- transfer acknowledge
1246 52 zero_gravi
      -- clock generator --
1247 60 zero_gravi
      clkgen_en_o => neoled_cg_en,                -- enable clock generator
1248 52 zero_gravi
      clkgen_i    => clk_gen,
1249
      -- interrupt --
1250 60 zero_gravi
      irq_o       => neoled_irq,                  -- interrupt request
1251 52 zero_gravi
      -- NEOLED output --
1252 60 zero_gravi
      neoled_o    => neoled_o                     -- serial async data line
1253 52 zero_gravi
    );
1254 60 zero_gravi
    resp_bus(RESP_NEOLED).err <= '0'; -- no access error possible
1255 52 zero_gravi
  end generate;
1256
 
1257
  neorv32_neoled_inst_false:
1258
  if (IO_NEOLED_EN = false) generate
1259 60 zero_gravi
    resp_bus(RESP_NEOLED) <= resp_bus_entry_terminate_c;
1260 52 zero_gravi
    neoled_cg_en <= '0';
1261
    neoled_irq   <= '0';
1262
    neoled_o     <= '0';
1263
  end generate;
1264
 
1265
 
1266 61 zero_gravi
  -- Stream Link Interface (SLINK) ----------------------------------------------------------
1267
  -- -------------------------------------------------------------------------------------------
1268
  neorv32_slink_inst_true:
1269
  if (io_slink_en_c = true) generate
1270
    neorv32_slink_inst: neorv32_slink
1271
    generic map (
1272
      SLINK_NUM_TX  => SLINK_NUM_TX,  -- number of TX links (0..8)
1273
      SLINK_NUM_RX  => SLINK_NUM_RX,  -- number of TX links (0..8)
1274
      SLINK_TX_FIFO => SLINK_TX_FIFO, -- TX fifo depth, has to be a power of two
1275
      SLINK_RX_FIFO => SLINK_RX_FIFO  -- RX fifo depth, has to be a power of two
1276
    )
1277
    port map (
1278
      -- host access --
1279
      clk_i          => clk_i,                      -- global clock line
1280
      addr_i         => p_bus.addr,                 -- address
1281
      rden_i         => io_rden,                    -- read enable
1282
      wren_i         => io_wren,                    -- write enable
1283
      data_i         => p_bus.wdata,                -- data in
1284
      data_o         => resp_bus(RESP_SLINK).rdata, -- data out
1285
      ack_o          => resp_bus(RESP_SLINK).ack,   -- transfer acknowledge
1286
      -- interrupt --
1287
      irq_tx_o       => slink_tx_irq,               -- transmission done
1288
      irq_rx_o       => slink_rx_irq,               -- data received
1289
      -- TX stream interfaces --
1290
      slink_tx_dat_o => slink_tx_dat_o,             -- output data
1291
      slink_tx_val_o => slink_tx_val_o,             -- valid output
1292
      slink_tx_rdy_i => slink_tx_rdy_i,             -- ready to send
1293
      -- RX stream interfaces --
1294
      slink_rx_dat_i => slink_rx_dat_i,             -- input data
1295
      slink_rx_val_i => slink_rx_val_i,             -- valid input
1296
      slink_rx_rdy_o => slink_rx_rdy_o              -- ready to receive
1297
    );
1298
    resp_bus(RESP_SLINK).err <= '0'; -- no access error possible
1299
  end generate;
1300
 
1301
  neorv32_slink_inst_false:
1302
  if (io_slink_en_c = false) generate
1303
    resp_bus(RESP_SLINK) <= resp_bus_entry_terminate_c;
1304
    slink_tx_irq   <= '0';
1305
    slink_rx_irq   <= '0';
1306
    slink_tx_dat_o <= (others => (others => '0'));
1307
    slink_tx_val_o <= (others => '0');
1308
    slink_rx_rdy_o <= (others => '0');
1309
  end generate;
1310
 
1311
 
1312
  -- External Interrupt Controller (XIRQ) ---------------------------------------------------
1313
  -- -------------------------------------------------------------------------------------------
1314
  neorv32_xirq_inst_true:
1315
  if (XIRQ_NUM_CH > 0) generate
1316
    neorv32_slink_inst: neorv32_xirq
1317
    generic map (
1318
      XIRQ_NUM_CH           => XIRQ_NUM_CH,          -- number of external IRQ channels (0..32)
1319
      XIRQ_TRIGGER_TYPE     => XIRQ_TRIGGER_TYPE,    -- trigger type: 0=level, 1=edge
1320
      XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1321
    )
1322
    port map (
1323
      -- host access --
1324
      clk_i     => clk_i,                     -- global clock line
1325
      addr_i    => p_bus.addr,                -- address
1326
      rden_i    => io_rden,                   -- read enable
1327
      wren_i    => io_wren,                   -- write enable
1328
      data_i    => p_bus.wdata,               -- data in
1329
      data_o    => resp_bus(RESP_XIRQ).rdata, -- data out
1330
      ack_o     => resp_bus(RESP_XIRQ).ack,   -- transfer acknowledge
1331
      -- external interrupt lines --
1332
      xirq_i    => xirq_i,
1333
      -- CPU interrupt --
1334
      cpu_irq_o => xirq_irq
1335
    );
1336
    resp_bus(RESP_XIRQ).err <= '0'; -- no access error possible
1337
  end generate;
1338
 
1339
  neorv32_xirq_inst_false:
1340
  if (XIRQ_NUM_CH = 0) generate
1341
    resp_bus(RESP_XIRQ) <= resp_bus_entry_terminate_c;
1342
    xirq_irq <= '0';
1343
  end generate;
1344
 
1345
 
1346 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1347
  -- -------------------------------------------------------------------------------------------
1348
  neorv32_sysinfo_inst: neorv32_sysinfo
1349
  generic map (
1350
    -- General --
1351 63 zero_gravi
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1352
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,    -- implement processor-internal bootloader?
1353
    -- RISC-V CPU Extensions --
1354
    CPU_EXTENSION_RISCV_Zbb      => CPU_EXTENSION_RISCV_Zbb,      -- implement basic bit-manipulation sub-extension?
1355
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
1356
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
1357
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
1358
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
1359
    CPU_EXTENSION_RISCV_DEBUG    => ON_CHIP_DEBUGGER_EN,          -- implement CPU debug mode?
1360
    -- Extension Options --
1361
    FAST_MUL_EN                  => FAST_MUL_EN,          -- use DSPs for M extension's multiplier
1362
    FAST_SHIFT_EN                => FAST_SHIFT_EN,        -- use barrel shifter for shift operations
1363
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,        -- total width of CPU cycle and instret counters (0..64)
1364
    -- Physical memory protection (PMP) --
1365
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,      -- number of regions (0..64)
1366
    -- Hardware Performance Monitors (HPM) --
1367
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,         -- number of implemented HPM counters (0..29)
1368 23 zero_gravi
    -- internal Instruction memory --
1369 63 zero_gravi
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1370
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1371 23 zero_gravi
    -- Internal Data memory --
1372 63 zero_gravi
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1373
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1374 41 zero_gravi
    -- Internal Cache memory --
1375 63 zero_gravi
    ICACHE_EN                    => ICACHE_EN,            -- implement instruction cache
1376
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1377
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1378
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1379 23 zero_gravi
    -- External memory interface --
1380 63 zero_gravi
    MEM_EXT_EN                   => MEM_EXT_EN,           -- implement external memory bus interface?
1381
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN,   -- byte order: true=big-endian, false=little-endian
1382 59 zero_gravi
    -- On-Chip Debugger --
1383 63 zero_gravi
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,  -- implement OCD?
1384 12 zero_gravi
    -- Processor peripherals --
1385 63 zero_gravi
    IO_GPIO_EN                   => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1386
    IO_MTIME_EN                  => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1387
    IO_UART0_EN                  => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1388
    IO_UART1_EN                  => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1389
    IO_SPI_EN                    => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1390
    IO_TWI_EN                    => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1391
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,        -- number of PWM channels to implement
1392
    IO_WDT_EN                    => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1393
    IO_TRNG_EN                   => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1394
    IO_CFS_EN                    => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1395
    IO_SLINK_EN                  => io_slink_en_c,        -- implement stream link interface?
1396
    IO_NEOLED_EN                 => IO_NEOLED_EN,         -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1397
    IO_XIRQ_NUM_CH               => XIRQ_NUM_CH           -- number of external interrupt (XIRQ) channels to implement
1398 12 zero_gravi
  )
1399
  port map (
1400
    -- host access --
1401 60 zero_gravi
    clk_i  => clk_i,                        -- global clock line
1402
    addr_i => p_bus.addr,                   -- address
1403
    rden_i => io_rden,                      -- read enable
1404
    data_o => resp_bus(RESP_SYSINFO).rdata, -- data out
1405
    ack_o  => resp_bus(RESP_SYSINFO).ack    -- transfer acknowledge
1406 12 zero_gravi
  );
1407
 
1408 60 zero_gravi
  resp_bus(RESP_SYSINFO).err <= '0'; -- no access error possible
1409 12 zero_gravi
 
1410 60 zero_gravi
 
1411 59 zero_gravi
  -- **************************************************************************************************************************
1412
  -- On-Chip Debugger Complex
1413
  -- **************************************************************************************************************************
1414
 
1415
 
1416
  -- On-Chip Debugger - Debug Module (DM) ---------------------------------------------------
1417
  -- -------------------------------------------------------------------------------------------
1418
  neorv32_neorv32_debug_dm_true:
1419
  if (ON_CHIP_DEBUGGER_EN = true) generate
1420
    neorv32_debug_dm_inst: neorv32_debug_dm
1421
    port map (
1422
      -- global control --
1423 60 zero_gravi
      clk_i            => clk_i,                    -- global clock line
1424
      rstn_i           => ext_rstn,                 -- external reset, low-active
1425 59 zero_gravi
      -- debug module interface (DMI) --
1426
      dmi_rstn_i       => dmi.rstn,
1427
      dmi_req_valid_i  => dmi.req_valid,
1428
      dmi_req_ready_o  => dmi.req_ready,
1429
      dmi_req_addr_i   => dmi.req_addr,
1430
      dmi_req_op_i     => dmi.req_op,
1431
      dmi_req_data_i   => dmi.req_data,
1432 60 zero_gravi
      dmi_resp_valid_o => dmi.resp_valid,           -- response valid when set
1433
      dmi_resp_ready_i => dmi.resp_ready,           -- ready to receive respond
1434 59 zero_gravi
      dmi_resp_data_o  => dmi.resp_data,
1435 60 zero_gravi
      dmi_resp_err_o   => dmi.resp_err,             -- 0=ok, 1=error
1436 59 zero_gravi
      -- CPU bus access --
1437 60 zero_gravi
      cpu_addr_i       => p_bus.addr,               -- address
1438
      cpu_rden_i       => p_bus.re,                 -- read enable
1439
      cpu_wren_i       => p_bus.we,                 -- write enable
1440
      cpu_data_i       => p_bus.wdata,              -- data in
1441
      cpu_data_o       => resp_bus(RESP_OCD).rdata, -- data out
1442
      cpu_ack_o        => resp_bus(RESP_OCD).ack,   -- transfer acknowledge
1443 59 zero_gravi
      -- CPU control --
1444 60 zero_gravi
      cpu_ndmrstn_o    => dci_ndmrstn,              -- soc reset
1445
      cpu_halt_req_o   => dci_halt_req              -- request hart to halt (enter debug mode)
1446 59 zero_gravi
    );
1447 60 zero_gravi
    resp_bus(RESP_OCD).err <= '0'; -- no access error possible
1448 59 zero_gravi
  end generate;
1449
 
1450
  neorv32_debug_dm_false:
1451
  if (ON_CHIP_DEBUGGER_EN = false) generate
1452
    dmi.req_ready  <= '0';
1453
    dmi.resp_valid <= '0';
1454
    dmi.resp_data  <= (others => '0');
1455
    dmi.resp_err   <= '0';
1456
    --
1457 60 zero_gravi
    resp_bus(RESP_OCD) <= resp_bus_entry_terminate_c;
1458
    dci_ndmrstn  <= '1';
1459
    dci_halt_req <= '0';
1460 59 zero_gravi
  end generate;
1461
 
1462
 
1463
  -- On-Chip Debugger - Debug Transport Module (DTM) ----------------------------------------
1464
  -- -------------------------------------------------------------------------------------------
1465
  neorv32_neorv32_debug_dtm_true:
1466
  if (ON_CHIP_DEBUGGER_EN = true) generate
1467
    neorv32_debug_dtm_inst: neorv32_debug_dtm
1468
    generic map (
1469
      IDCODE_VERSION => jtag_tap_idcode_version_c, -- version
1470
      IDCODE_PARTID  => jtag_tap_idcode_partid_c,  -- part number
1471
      IDCODE_MANID   => jtag_tap_idcode_manid_c    -- manufacturer id
1472
    )
1473
    port map (
1474
      -- global control --
1475
      clk_i            => clk_i,          -- global clock line
1476
      rstn_i           => ext_rstn,       -- external reset, low-active
1477
      -- jtag connection --
1478
      jtag_trst_i      => jtag_trst_i,
1479
      jtag_tck_i       => jtag_tck_i,
1480
      jtag_tdi_i       => jtag_tdi_i,
1481
      jtag_tdo_o       => jtag_tdo_o,
1482
      jtag_tms_i       => jtag_tms_i,
1483
      -- debug module interface (DMI) --
1484
      dmi_rstn_o       => dmi.rstn,
1485
      dmi_req_valid_o  => dmi.req_valid,
1486
      dmi_req_ready_i  => dmi.req_ready,  -- DMI is allowed to make new requests when set
1487
      dmi_req_addr_o   => dmi.req_addr,
1488
      dmi_req_op_o     => dmi.req_op,     -- 0=read, 1=write
1489
      dmi_req_data_o   => dmi.req_data,
1490
      dmi_resp_valid_i => dmi.resp_valid, -- response valid when set
1491
      dmi_resp_ready_o => dmi.resp_ready, -- ready to receive respond
1492
      dmi_resp_data_i  => dmi.resp_data,
1493
      dmi_resp_err_i   => dmi.resp_err    -- 0=ok, 1=error
1494
    );
1495
  end generate;
1496
 
1497
  neorv32_debug_dtm_false:
1498
  if (ON_CHIP_DEBUGGER_EN = false) generate
1499
    jtag_tdo_o <= jtag_tdi_i; -- feed-through
1500
    --
1501
    dmi.rstn       <= '0';
1502
    dmi.req_valid  <= '0';
1503
    dmi.req_addr   <= (others => '0');
1504
    dmi.req_op     <= '0';
1505
    dmi.req_data   <= (others => '0');
1506
    dmi.resp_ready <= '0';
1507
  end generate;
1508
 
1509
 
1510 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.