OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_top.vhd] - Blame information for rev 69

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2 66 zero_gravi
-- # << The NEORV32 RISC-V Processor - Top Entity >>                                               #
3 2 zero_gravi
-- # ********************************************************************************************* #
4 63 zero_gravi
-- # Check out the processor's online documentation for more information:                          #
5
-- #  HQ:         https://github.com/stnolting/neorv32                                             #
6
-- #  Data Sheet: https://stnolting.github.io/neorv32                                              #
7
-- #  User Guide: https://stnolting.github.io/neorv32/ug                                           #
8 2 zero_gravi
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
12 2 zero_gravi
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_top is
48
  generic (
49
    -- General --
50 62 zero_gravi
    CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
51 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
52 62 zero_gravi
    INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
53 50 zero_gravi
 
54 59 zero_gravi
    -- On-Chip Debugger (OCD) --
55
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
56
 
57 2 zero_gravi
    -- RISC-V CPU Extensions --
58 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
59 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
60 11 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
61 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
62 61 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
63 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
64 57 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
65 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
66 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
67
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
68 39 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
69 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
70 50 zero_gravi
 
71 19 zero_gravi
    -- Extension Options --
72 23 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
73 39 zero_gravi
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
74 56 zero_gravi
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
75 62 zero_gravi
    CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
76 50 zero_gravi
 
77 15 zero_gravi
    -- Physical Memory Protection (PMP) --
78 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
79
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
80 50 zero_gravi
 
81 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
82 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
83 60 zero_gravi
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
84 50 zero_gravi
 
85 61 zero_gravi
    -- Internal Instruction memory (IMEM) --
86 62 zero_gravi
    MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
87 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
88 50 zero_gravi
 
89 61 zero_gravi
    -- Internal Data memory (DMEM) --
90 62 zero_gravi
    MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
91 8 zero_gravi
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
92 50 zero_gravi
 
93 61 zero_gravi
    -- Internal Cache memory (iCACHE) --
94 44 zero_gravi
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
95 41 zero_gravi
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
96
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
97 45 zero_gravi
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
98 50 zero_gravi
 
99 61 zero_gravi
    -- External memory interface (WISHBONE) --
100 44 zero_gravi
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
101 57 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
102 62 zero_gravi
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
103
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
104
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
105 50 zero_gravi
 
106 61 zero_gravi
    -- Stream link interface (SLINK) --
107
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
108
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
109
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
110
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
111
 
112
    -- External Interrupts Controller (XIRQ) --
113
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
114 63 zero_gravi
    XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger type: 0=level, 1=edge
115
    XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
116 61 zero_gravi
 
117 2 zero_gravi
    -- Processor peripherals --
118 62 zero_gravi
    IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
119
    IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
120
    IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
121 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
122
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
123 62 zero_gravi
    IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
124 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
125
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
126 62 zero_gravi
    IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
127
    IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
128
    IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
129
    IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
130 44 zero_gravi
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
131 47 zero_gravi
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
132 56 zero_gravi
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
133 52 zero_gravi
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
134
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
135 62 zero_gravi
    IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
136 67 zero_gravi
    IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
137
    IO_GPTMR_EN                  : boolean := false   -- implement general purpose timer (GPTMR)?
138 2 zero_gravi
  );
139
  port (
140
    -- Global control --
141 62 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
142
    rstn_i         : in  std_ulogic; -- global reset, low-active, async
143 50 zero_gravi
 
144 59 zero_gravi
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
145 62 zero_gravi
    jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
146
    jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
147
    jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
148 61 zero_gravi
    jtag_tdo_o     : out std_ulogic;        -- serial data output
149 62 zero_gravi
    jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
150 59 zero_gravi
 
151 44 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
152 61 zero_gravi
    wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
153
    wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
154 62 zero_gravi
    wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
155 61 zero_gravi
    wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
156
    wb_we_o        : out std_ulogic; -- read/write
157
    wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
158
    wb_stb_o       : out std_ulogic; -- strobe
159
    wb_cyc_o       : out std_ulogic; -- valid cycle
160
    wb_lock_o      : out std_ulogic; -- exclusive access request
161 62 zero_gravi
    wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
162
    wb_err_i       : in  std_ulogic := 'L'; -- transfer error
163 50 zero_gravi
 
164 44 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
165 61 zero_gravi
    fence_o        : out std_ulogic; -- indicates an executed FENCE operation
166
    fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
167 50 zero_gravi
 
168 61 zero_gravi
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
169
    slink_tx_dat_o : out sdata_8x32_t; -- output data
170
    slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
171 62 zero_gravi
    slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
172 61 zero_gravi
 
173
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
174 62 zero_gravi
    slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
175
    slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
176 61 zero_gravi
    slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
177
 
178 44 zero_gravi
    -- GPIO (available if IO_GPIO_EN = true) --
179 61 zero_gravi
    gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
180 62 zero_gravi
    gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
181 50 zero_gravi
 
182
    -- primary UART0 (available if IO_UART0_EN = true) --
183 61 zero_gravi
    uart0_txd_o    : out std_ulogic; -- UART0 send data
184 62 zero_gravi
    uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
185 61 zero_gravi
    uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
186 62 zero_gravi
    uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
187 50 zero_gravi
 
188
    -- secondary UART1 (available if IO_UART1_EN = true) --
189 61 zero_gravi
    uart1_txd_o    : out std_ulogic; -- UART1 send data
190 62 zero_gravi
    uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
191 61 zero_gravi
    uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
192 62 zero_gravi
    uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
193 50 zero_gravi
 
194 44 zero_gravi
    -- SPI (available if IO_SPI_EN = true) --
195 61 zero_gravi
    spi_sck_o      : out std_ulogic; -- SPI serial clock
196
    spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
197 62 zero_gravi
    spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
198 61 zero_gravi
    spi_csn_o      : out std_ulogic_vector(07 downto 0); -- chip-select
199 50 zero_gravi
 
200 44 zero_gravi
    -- TWI (available if IO_TWI_EN = true) --
201 62 zero_gravi
    twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
202
    twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
203 50 zero_gravi
 
204 60 zero_gravi
    -- PWM (available if IO_PWM_NUM_CH > 0) --
205 61 zero_gravi
    pwm_o          : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
206 50 zero_gravi
 
207 47 zero_gravi
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
208 62 zero_gravi
    cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
209 61 zero_gravi
    cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
210 50 zero_gravi
 
211 52 zero_gravi
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
212 61 zero_gravi
    neoled_o       : out std_ulogic; -- async serial data line
213 52 zero_gravi
 
214 59 zero_gravi
    -- System time --
215 62 zero_gravi
    mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
216 61 zero_gravi
    mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
217 50 zero_gravi
 
218 61 zero_gravi
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
219 62 zero_gravi
    xirq_i         : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels
220 61 zero_gravi
 
221
    -- CPU interrupts --
222 62 zero_gravi
    mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
223
    msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
224
    mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
225 2 zero_gravi
  );
226
end neorv32_top;
227
 
228
architecture neorv32_top_rtl of neorv32_top is
229
 
230 61 zero_gravi
  -- CPU boot configuration --
231
  constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(INT_BOOTLOADER_EN, boot_rom_base_c, ispace_base_c);
232 12 zero_gravi
 
233 29 zero_gravi
  -- alignment check for internal memories --
234
  constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0');
235
  constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0');
236
 
237 61 zero_gravi
  -- helpers --
238
  constant io_slink_en_c : boolean := boolean(SLINK_NUM_RX > 0) or boolean(SLINK_NUM_TX > 0); -- implement slink at all?
239
 
240 2 zero_gravi
  -- reset generator --
241 63 zero_gravi
  signal rstn_gen : std_ulogic_vector(7 downto 0) := (others => '0'); -- initialize (=reset) via  (for FPGAs only)
242 60 zero_gravi
  signal ext_rstn : std_ulogic;
243
  signal sys_rstn : std_ulogic;
244
  signal wdt_rstn : std_ulogic;
245 2 zero_gravi
 
246
  -- clock generator --
247
  signal clk_div    : std_ulogic_vector(11 downto 0);
248
  signal clk_div_ff : std_ulogic_vector(11 downto 0);
249
  signal clk_gen    : std_ulogic_vector(07 downto 0);
250 67 zero_gravi
  signal clk_gen_en : std_ulogic_vector(08 downto 0);
251 47 zero_gravi
  --
252 52 zero_gravi
  signal wdt_cg_en    : std_ulogic;
253
  signal uart0_cg_en  : std_ulogic;
254
  signal uart1_cg_en  : std_ulogic;
255
  signal spi_cg_en    : std_ulogic;
256
  signal twi_cg_en    : std_ulogic;
257
  signal pwm_cg_en    : std_ulogic;
258
  signal cfs_cg_en    : std_ulogic;
259
  signal neoled_cg_en : std_ulogic;
260 67 zero_gravi
  signal gptmr_cg_en  : std_ulogic;
261 2 zero_gravi
 
262 12 zero_gravi
  -- bus interface --
263
  type bus_interface_t is record
264 11 zero_gravi
    addr   : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
265
    rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
266
    wdata  : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
267
    ben    : std_ulogic_vector(03 downto 0); -- byte enable
268
    we     : std_ulogic; -- write enable
269
    re     : std_ulogic; -- read enable
270
    ack    : std_ulogic; -- bus transfer acknowledge
271
    err    : std_ulogic; -- bus transfer error
272 12 zero_gravi
    fence  : std_ulogic; -- fence(i) instruction executed
273 35 zero_gravi
    priv   : std_ulogic_vector(1 downto 0); -- current privilege level
274 40 zero_gravi
    src    : std_ulogic; -- access source (1=instruction fetch, 0=data access)
275 57 zero_gravi
    lock   : std_ulogic; -- exclusive access request
276 11 zero_gravi
  end record;
277 41 zero_gravi
  signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t;
278 2 zero_gravi
 
279 68 zero_gravi
  -- bus access error (from BUSKEEPER) --
280
  signal bus_error : std_ulogic;
281
 
282 59 zero_gravi
  -- debug core interface (DCI) --
283
  signal dci_ndmrstn  : std_ulogic;
284
  signal dci_halt_req : std_ulogic;
285
 
286
  -- debug module interface (DMI) --
287
  type dmi_t is record
288
    rstn       : std_ulogic;
289
    req_valid  : std_ulogic;
290
    req_ready  : std_ulogic; -- DMI is allowed to make new requests when set
291
    req_addr   : std_ulogic_vector(06 downto 0);
292
    req_op     : std_ulogic; -- 0=read, 1=write
293
    req_data   : std_ulogic_vector(31 downto 0);
294
    resp_valid : std_ulogic; -- response valid when set
295
    resp_ready : std_ulogic; -- ready to receive respond
296
    resp_data  : std_ulogic_vector(31 downto 0);
297
    resp_err   : std_ulogic; -- 0=ok, 1=error
298
  end record;
299
  signal dmi : dmi_t;
300
 
301 2 zero_gravi
  -- io space access --
302
  signal io_acc  : std_ulogic;
303
  signal io_rden : std_ulogic;
304
  signal io_wren : std_ulogic;
305
 
306 60 zero_gravi
  -- module response bus - entry type --
307
  type resp_bus_entry_t is record
308
    rdata : std_ulogic_vector(data_width_c-1 downto 0);
309
    ack   : std_ulogic;
310
    err   : std_ulogic;
311
  end record;
312
  constant resp_bus_entry_terminate_c : resp_bus_entry_t := (rdata => (others => '0'), ack => '0', err => '0');
313 2 zero_gravi
 
314 60 zero_gravi
  -- module response bus - device ID --
315 66 zero_gravi
  type resp_bus_id_t is (RESP_BUSKEEPER, RESP_IMEM, RESP_DMEM, RESP_BOOTROM, RESP_WISHBONE, RESP_GPIO, RESP_MTIME, RESP_UART0, RESP_UART1, RESP_SPI,
316 67 zero_gravi
                         RESP_TWI, RESP_PWM, RESP_WDT, RESP_TRNG, RESP_CFS, RESP_NEOLED, RESP_SYSINFO, RESP_OCD, RESP_SLINK, RESP_XIRQ, RESP_GPTMR);
317 60 zero_gravi
 
318
  -- module response bus --
319
  type resp_bus_t is array (resp_bus_id_t) of resp_bus_entry_t;
320
  signal resp_bus : resp_bus_t := (others => resp_bus_entry_terminate_c);
321
 
322 2 zero_gravi
  -- IRQs --
323 59 zero_gravi
  signal fast_irq      : std_ulogic_vector(15 downto 0);
324 60 zero_gravi
  signal mtime_irq     : std_ulogic;
325 50 zero_gravi
  signal wdt_irq       : std_ulogic;
326
  signal uart0_rxd_irq : std_ulogic;
327
  signal uart0_txd_irq : std_ulogic;
328
  signal uart1_rxd_irq : std_ulogic;
329
  signal uart1_txd_irq : std_ulogic;
330
  signal spi_irq       : std_ulogic;
331
  signal twi_irq       : std_ulogic;
332
  signal cfs_irq       : std_ulogic;
333 52 zero_gravi
  signal neoled_irq    : std_ulogic;
334 61 zero_gravi
  signal slink_tx_irq  : std_ulogic;
335
  signal slink_rx_irq  : std_ulogic;
336
  signal xirq_irq      : std_ulogic;
337 67 zero_gravi
  signal gptmr_irq     : std_ulogic;
338 2 zero_gravi
 
339 11 zero_gravi
  -- misc --
340 68 zero_gravi
  signal mtime_time  : std_ulogic_vector(63 downto 0); -- current system time from MTIME
341
  signal ext_timeout : std_ulogic;
342
  signal ext_access  : std_ulogic;
343 69 zero_gravi
  signal debug_mode  : std_ulogic;
344 11 zero_gravi
 
345 2 zero_gravi
begin
346
 
347 61 zero_gravi
  -- Processor IO/Peripherals Configuration -------------------------------------------------
348
  -- -------------------------------------------------------------------------------------------
349
  assert false report
350
  "NEORV32 PROCESSOR IO Configuration: " &
351
  cond_sel_string_f(IO_GPIO_EN, "GPIO ", "") &
352
  cond_sel_string_f(IO_MTIME_EN, "MTIME ", "") &
353
  cond_sel_string_f(IO_UART0_EN, "UART0 ", "") &
354
  cond_sel_string_f(IO_UART1_EN, "UART1 ", "") &
355
  cond_sel_string_f(IO_SPI_EN, "SPI ", "") &
356
  cond_sel_string_f(IO_TWI_EN, "TWI ", "") &
357
  cond_sel_string_f(boolean(IO_PWM_NUM_CH > 0), "PWM ", "") &
358
  cond_sel_string_f(IO_WDT_EN, "WDT ", "") &
359
  cond_sel_string_f(IO_TRNG_EN, "TRNG ", "") &
360
  cond_sel_string_f(IO_CFS_EN, "CFS ", "") &
361
  cond_sel_string_f(io_slink_en_c, "SLINK ", "") &
362
  cond_sel_string_f(IO_NEOLED_EN, "NEOLED ", "") &
363
  cond_sel_string_f(boolean(XIRQ_NUM_CH > 0), "XIRQ ", "") &
364 67 zero_gravi
  cond_sel_string_f(IO_GPTMR_EN, "GPTMR ", "") &
365 61 zero_gravi
  ""
366
  severity note;
367
 
368
 
369 2 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
370
  -- -------------------------------------------------------------------------------------------
371 61 zero_gravi
  -- boot configuration --
372
  assert not (INT_BOOTLOADER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM)." severity note;
373
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-internal IMEM)." severity note;
374
  assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-external (I)MEM)." severity note;
375
  --
376
  assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal IMEM." severity error;
377
  assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (INT_BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal IMEM and bootloader." severity error;
378
 
379 36 zero_gravi
  -- memory system - size --
380 44 zero_gravi
  assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
381
  assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning;
382 61 zero_gravi
 
383 29 zero_gravi
  -- memory system - alignment --
384
  assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error;
385
  assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error;
386 44 zero_gravi
  assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error;
387
  assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
388 61 zero_gravi
 
389 36 zero_gravi
  -- memory system - layout warning --
390 29 zero_gravi
  assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
391
  assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
392 61 zero_gravi
 
393 41 zero_gravi
  -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only --
394 44 zero_gravi
  assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note;
395 61 zero_gravi
 
396 59 zero_gravi
  -- on-chip debugger --
397 61 zero_gravi
  assert not (ON_CHIP_DEBUGGER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing on-chip debugger (OCD)." severity note;
398 2 zero_gravi
 
399 59 zero_gravi
 
400 2 zero_gravi
  -- Reset Generator ------------------------------------------------------------------------
401
  -- -------------------------------------------------------------------------------------------
402 60 zero_gravi
  reset_generator: process(rstn_i, clk_i)
403 2 zero_gravi
  begin
404 60 zero_gravi
    if (rstn_i = '0') then
405 2 zero_gravi
      rstn_gen <= (others => '0');
406 60 zero_gravi
      sys_rstn <= '0';
407 2 zero_gravi
    elsif rising_edge(clk_i) then
408 60 zero_gravi
      -- keep internal reset active for at least <rstn_gen'size> clock cycles --
409 2 zero_gravi
      rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1';
410 60 zero_gravi
      -- system reset: can also be triggered by watchdog and debug module --
411
      sys_rstn <= ext_rstn and wdt_rstn and dci_ndmrstn;
412 2 zero_gravi
    end if;
413
  end process reset_generator;
414
 
415 60 zero_gravi
  -- beautified external reset signal --
416
  ext_rstn <= rstn_gen(rstn_gen'left);
417 2 zero_gravi
 
418
 
419
  -- Clock Generator ------------------------------------------------------------------------
420
  -- -------------------------------------------------------------------------------------------
421
  clock_generator: process(sys_rstn, clk_i)
422
  begin
423
    if (sys_rstn = '0') then
424 60 zero_gravi
      clk_gen_en <= (others => '-');
425 2 zero_gravi
      clk_div    <= (others => '0');
426 60 zero_gravi
      clk_div_ff <= (others => '-');
427
      clk_gen    <= (others => '-');
428 2 zero_gravi
    elsif rising_edge(clk_i) then
429 23 zero_gravi
      -- fresh clocks anyone? --
430 50 zero_gravi
      clk_gen_en(0) <= wdt_cg_en;
431
      clk_gen_en(1) <= uart0_cg_en;
432
      clk_gen_en(2) <= uart1_cg_en;
433
      clk_gen_en(3) <= spi_cg_en;
434
      clk_gen_en(4) <= twi_cg_en;
435
      clk_gen_en(5) <= pwm_cg_en;
436
      clk_gen_en(6) <= cfs_cg_en;
437 61 zero_gravi
      clk_gen_en(7) <= neoled_cg_en;
438 67 zero_gravi
      clk_gen_en(8) <= gptmr_cg_en;
439 60 zero_gravi
      -- actual clock generator --
440
      if (or_reduce_f(clk_gen_en) = '1') then
441 23 zero_gravi
        clk_div <= std_ulogic_vector(unsigned(clk_div) + 1);
442 2 zero_gravi
      end if;
443 60 zero_gravi
      -- clock enables: rising edge detectors --
444 23 zero_gravi
      clk_div_ff <= clk_div;
445
      clk_gen(clk_div2_c)    <= clk_div(0)  and (not clk_div_ff(0));  -- CLK/2
446
      clk_gen(clk_div4_c)    <= clk_div(1)  and (not clk_div_ff(1));  -- CLK/4
447
      clk_gen(clk_div8_c)    <= clk_div(2)  and (not clk_div_ff(2));  -- CLK/8
448
      clk_gen(clk_div64_c)   <= clk_div(5)  and (not clk_div_ff(5));  -- CLK/64
449
      clk_gen(clk_div128_c)  <= clk_div(6)  and (not clk_div_ff(6));  -- CLK/128
450
      clk_gen(clk_div1024_c) <= clk_div(9)  and (not clk_div_ff(9));  -- CLK/1024
451
      clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048
452
      clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096
453
    end if;
454 60 zero_gravi
  end process clock_generator;
455 2 zero_gravi
 
456
 
457 45 zero_gravi
  -- CPU Core -------------------------------------------------------------------------------
458 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
459
  neorv32_cpu_inst: neorv32_cpu
460
  generic map (
461
    -- General --
462 41 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,        -- hardware thread id
463
    CPU_BOOT_ADDR                => cpu_boot_addr_c,     -- cpu boot address
464 59 zero_gravi
    CPU_DEBUG_ADDR               => dm_base_c,           -- cpu debug mode start address
465 2 zero_gravi
    -- RISC-V CPU Extensions --
466 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
467 66 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit-manipulation extension?
468 8 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
469
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
470
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
471 15 zero_gravi
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
472 55 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
473 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
474 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
475
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
476 8 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
477 61 zero_gravi
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
478 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    => ON_CHIP_DEBUGGER_EN,          -- implement CPU debug mode?
479 19 zero_gravi
    -- Extension Options --
480 41 zero_gravi
    FAST_MUL_EN                  => FAST_MUL_EN,         -- use DSPs for M extension's multiplier
481
    FAST_SHIFT_EN                => FAST_SHIFT_EN,       -- use barrel shifter for shift operations
482 56 zero_gravi
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,       -- total width of CPU cycle and instret counters (0..64)
483 62 zero_gravi
    CPU_IPB_ENTRIES              => CPU_IPB_ENTRIES,     -- entries is instruction prefetch buffer, has to be a power of 2
484 15 zero_gravi
    -- Physical Memory Protection (PMP) --
485 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,     -- number of regions (0..64)
486
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
487
    -- Hardware Performance Monitors (HPM) --
488 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,        -- number of implemented HPM counters (0..29)
489 60 zero_gravi
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH        -- total size of HPM counters (0..64)
490 2 zero_gravi
  )
491
  port map (
492
    -- global control --
493 12 zero_gravi
    clk_i          => clk_i,        -- global clock, rising edge
494
    rstn_i         => sys_rstn,     -- global reset, low-active, async
495 65 zero_gravi
    sleep_o        => open,         -- cpu is in sleep mode when set
496 69 zero_gravi
    debug_o        => debug_mode,   -- cpu is in debug mode when set
497 12 zero_gravi
    -- instruction bus interface --
498
    i_bus_addr_o   => cpu_i.addr,   -- bus access address
499
    i_bus_rdata_i  => cpu_i.rdata,  -- bus read data
500
    i_bus_wdata_o  => cpu_i.wdata,  -- bus write data
501
    i_bus_ben_o    => cpu_i.ben,    -- byte enable
502
    i_bus_we_o     => cpu_i.we,     -- write enable
503
    i_bus_re_o     => cpu_i.re,     -- read enable
504 57 zero_gravi
    i_bus_lock_o   => cpu_i.lock,   -- exclusive access request
505 12 zero_gravi
    i_bus_ack_i    => cpu_i.ack,    -- bus transfer acknowledge
506
    i_bus_err_i    => cpu_i.err,    -- bus transfer error
507
    i_bus_fence_o  => cpu_i.fence,  -- executed FENCEI operation
508 35 zero_gravi
    i_bus_priv_o   => cpu_i.priv,   -- privilege level
509 12 zero_gravi
    -- data bus interface --
510
    d_bus_addr_o   => cpu_d.addr,   -- bus access address
511
    d_bus_rdata_i  => cpu_d.rdata,  -- bus read data
512
    d_bus_wdata_o  => cpu_d.wdata,  -- bus write data
513
    d_bus_ben_o    => cpu_d.ben,    -- byte enable
514
    d_bus_we_o     => cpu_d.we,     -- write enable
515
    d_bus_re_o     => cpu_d.re,     -- read enable
516 57 zero_gravi
    d_bus_lock_o   => cpu_d.lock,   -- exclusive access request
517 12 zero_gravi
    d_bus_ack_i    => cpu_d.ack,    -- bus transfer acknowledge
518
    d_bus_err_i    => cpu_d.err,    -- bus transfer error
519
    d_bus_fence_o  => cpu_d.fence,  -- executed FENCE operation
520 35 zero_gravi
    d_bus_priv_o   => cpu_d.priv,   -- privilege level
521 11 zero_gravi
    -- system time input from MTIME --
522 12 zero_gravi
    time_i         => mtime_time,   -- current system time
523 58 zero_gravi
    -- non-maskable interrupt --
524 64 zero_gravi
    msw_irq_i      => msw_irq_i,    -- machine software interrupt
525
    mext_irq_i     => mext_irq_i,   -- machine external interrupt request
526 14 zero_gravi
    mtime_irq_i    => mtime_irq,    -- machine timer interrupt
527
    -- fast interrupts (custom) --
528 47 zero_gravi
    firq_i         => fast_irq,     -- fast interrupt trigger
529 59 zero_gravi
    -- debug mode (halt) request --
530
    db_halt_req_i  => dci_halt_req
531 2 zero_gravi
  );
532
 
533 36 zero_gravi
  -- misc --
534 57 zero_gravi
  cpu_i.src <= '1'; -- initialized but unused
535
  cpu_d.src <= '0'; -- initialized but unused
536 36 zero_gravi
 
537 14 zero_gravi
  -- advanced memory control --
538
  fence_o  <= cpu_d.fence; -- indicates an executed FENCE operation
539
  fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation
540 2 zero_gravi
 
541 68 zero_gravi
  -- fast interrupt requests (FIRQs) --
542 69 zero_gravi
  -- these signals are single-shot --
543 68 zero_gravi
  fast_irq(00) <= wdt_irq;       -- HIGHEST PRIORITY - watchdog
544 50 zero_gravi
  fast_irq(01) <= cfs_irq;       -- custom functions subsystem
545 68 zero_gravi
  fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) RX
546
  fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) TX
547
  fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) RX
548
  fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) TX
549
  fast_irq(06) <= spi_irq;       -- SPI
550
  fast_irq(07) <= twi_irq;       -- TWI
551 61 zero_gravi
  fast_irq(08) <= xirq_irq;      -- external interrupt controller
552 52 zero_gravi
  fast_irq(09) <= neoled_irq;    -- NEOLED buffer free
553 68 zero_gravi
  fast_irq(10) <= slink_rx_irq;  -- SLINK RX
554
  fast_irq(11) <= slink_tx_irq;  -- SLINK TX
555 67 zero_gravi
  fast_irq(12) <= gptmr_irq;     -- general purpose timer
556 61 zero_gravi
  --
557 62 zero_gravi
  fast_irq(13) <= '0'; -- reserved
558
  fast_irq(14) <= '0'; -- reserved
559 66 zero_gravi
  fast_irq(15) <= '0'; -- LOWEST PRIORITY - reserved
560 14 zero_gravi
 
561
 
562 41 zero_gravi
  -- CPU Instruction Cache ------------------------------------------------------------------
563
  -- -------------------------------------------------------------------------------------------
564
  neorv32_icache_inst_true:
565 44 zero_gravi
  if (ICACHE_EN = true) generate
566 45 zero_gravi
    neorv32_icache_inst: neorv32_icache
567 41 zero_gravi
    generic map (
568 47 zero_gravi
      ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,   -- number of blocks (min 2), has to be a power of 2
569
      ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,   -- block size in bytes (min 4), has to be a power of 2
570
      ICACHE_NUM_SETS   => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2
571 41 zero_gravi
    )
572
    port map (
573
      -- global control --
574
      clk_i         => clk_i,          -- global clock, rising edge
575
      rstn_i        => sys_rstn,       -- global reset, low-active, async
576
      clear_i       => cpu_i.fence,    -- cache clear
577
      -- host controller interface --
578
      host_addr_i   => cpu_i.addr,     -- bus access address
579
      host_rdata_o  => cpu_i.rdata,    -- bus read data
580
      host_wdata_i  => cpu_i.wdata,    -- bus write data
581
      host_ben_i    => cpu_i.ben,      -- byte enable
582
      host_we_i     => cpu_i.we,       -- write enable
583
      host_re_i     => cpu_i.re,       -- read enable
584
      host_ack_o    => cpu_i.ack,      -- bus transfer acknowledge
585
      host_err_o    => cpu_i.err,      -- bus transfer error
586
      -- peripheral bus interface --
587
      bus_addr_o    => i_cache.addr,   -- bus access address
588
      bus_rdata_i   => i_cache.rdata,  -- bus read data
589
      bus_wdata_o   => i_cache.wdata,  -- bus write data
590
      bus_ben_o     => i_cache.ben,    -- byte enable
591
      bus_we_o      => i_cache.we,     -- write enable
592
      bus_re_o      => i_cache.re,     -- read enable
593
      bus_ack_i     => i_cache.ack,    -- bus transfer acknowledge
594
      bus_err_i     => i_cache.err     -- bus transfer error
595
    );
596
  end generate;
597
 
598 57 zero_gravi
  -- TODO: do not use LOCKED instruction fetch --
599
  i_cache.lock <= '0';
600
 
601 41 zero_gravi
  neorv32_icache_inst_false:
602 44 zero_gravi
  if (ICACHE_EN = false) generate
603 57 zero_gravi
    i_cache.addr  <= cpu_i.addr;
604
    cpu_i.rdata   <= i_cache.rdata;
605
    i_cache.wdata <= cpu_i.wdata;
606
    i_cache.ben   <= cpu_i.ben;
607
    i_cache.we    <= cpu_i.we;
608
    i_cache.re    <= cpu_i.re;
609
    cpu_i.ack     <= i_cache.ack;
610
    cpu_i.err     <= i_cache.err;
611 41 zero_gravi
  end generate;
612
 
613
 
614 45 zero_gravi
  -- CPU Bus Switch -------------------------------------------------------------------------
615 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
616
  neorv32_busswitch_inst: neorv32_busswitch
617
  generic map (
618
    PORT_CA_READ_ONLY => false, -- set if controller port A is read-only
619
    PORT_CB_READ_ONLY => true   -- set if controller port B is read-only
620
  )
621
  port map (
622
    -- global control --
623 41 zero_gravi
    clk_i           => clk_i,          -- global clock, rising edge
624
    rstn_i          => sys_rstn,       -- global reset, low-active, async
625 12 zero_gravi
    -- controller interface a --
626 41 zero_gravi
    ca_bus_addr_i   => cpu_d.addr,     -- bus access address
627
    ca_bus_rdata_o  => cpu_d.rdata,    -- bus read data
628
    ca_bus_wdata_i  => cpu_d.wdata,    -- bus write data
629
    ca_bus_ben_i    => cpu_d.ben,      -- byte enable
630
    ca_bus_we_i     => cpu_d.we,       -- write enable
631
    ca_bus_re_i     => cpu_d.re,       -- read enable
632 57 zero_gravi
    ca_bus_lock_i   => cpu_d.lock,     -- exclusive access request
633 41 zero_gravi
    ca_bus_ack_o    => cpu_d.ack,      -- bus transfer acknowledge
634
    ca_bus_err_o    => cpu_d.err,      -- bus transfer error
635 12 zero_gravi
    -- controller interface b --
636 41 zero_gravi
    cb_bus_addr_i   => i_cache.addr,   -- bus access address
637
    cb_bus_rdata_o  => i_cache.rdata,  -- bus read data
638
    cb_bus_wdata_i  => i_cache.wdata,  -- bus write data
639
    cb_bus_ben_i    => i_cache.ben,    -- byte enable
640
    cb_bus_we_i     => i_cache.we,     -- write enable
641
    cb_bus_re_i     => i_cache.re,     -- read enable
642 57 zero_gravi
    cb_bus_lock_i   => i_cache.lock,   -- exclusive access request
643 41 zero_gravi
    cb_bus_ack_o    => i_cache.ack,    -- bus transfer acknowledge
644
    cb_bus_err_o    => i_cache.err,    -- bus transfer error
645 12 zero_gravi
    -- peripheral bus --
646 41 zero_gravi
    p_bus_src_o     => p_bus.src,      -- access source: 0 = A (data), 1 = B (instructions)
647
    p_bus_addr_o    => p_bus.addr,     -- bus access address
648
    p_bus_rdata_i   => p_bus.rdata,    -- bus read data
649
    p_bus_wdata_o   => p_bus.wdata,    -- bus write data
650
    p_bus_ben_o     => p_bus.ben,      -- byte enable
651
    p_bus_we_o      => p_bus.we,       -- write enable
652
    p_bus_re_o      => p_bus.re,       -- read enable
653 57 zero_gravi
    p_bus_lock_o    => p_bus.lock,     -- exclusive access request
654 41 zero_gravi
    p_bus_ack_i     => p_bus.ack,      -- bus transfer acknowledge
655 68 zero_gravi
    p_bus_err_i     => bus_error       -- bus transfer error
656 12 zero_gravi
  );
657 2 zero_gravi
 
658 60 zero_gravi
  -- current CPU privilege level --
659
  p_bus.priv <= cpu_i.priv; -- note: cpu_i.priv == cpu_d.priv
660 53 zero_gravi
 
661 60 zero_gravi
  -- fence operation (unused) --
662
  p_bus.fence <= cpu_d.fence or cpu_i.fence;
663 2 zero_gravi
 
664 60 zero_gravi
  -- bus response --
665 66 zero_gravi
  bus_response: process(resp_bus)
666 60 zero_gravi
    variable rdata_v : std_ulogic_vector(data_width_c-1 downto 0);
667
    variable ack_v   : std_ulogic;
668
    variable err_v   : std_ulogic;
669
  begin
670
    rdata_v := (others => '0');
671
    ack_v   := '0';
672
    err_v   := '0';
673
    for i in resp_bus'range loop
674
      rdata_v := rdata_v or resp_bus(i).rdata; -- read data
675
      ack_v   := ack_v   or resp_bus(i).ack;   -- acknowledge
676
      err_v   := err_v   or resp_bus(i).err;   -- error
677
    end loop; -- i
678
    p_bus.rdata <= rdata_v; -- processor bus: CPU transfer data input
679
    p_bus.ack   <= ack_v;   -- processor bus: CPU transfer ACK input
680 66 zero_gravi
    p_bus.err   <= err_v;   -- processor bus: CPU transfer data bus error input
681 60 zero_gravi
  end process;
682 12 zero_gravi
 
683
 
684 66 zero_gravi
  -- Bus Keeper (BUSKEEPER) -----------------------------------------------------------------
685 57 zero_gravi
  -- -------------------------------------------------------------------------------------------
686
  neorv32_bus_keeper_inst: neorv32_bus_keeper
687
  port map (
688
    -- host access --
689 66 zero_gravi
    clk_i      => clk_i,                          -- global clock line
690
    rstn_i     => sys_rstn,                       -- global reset line, low-active, use as async
691
    addr_i     => p_bus.addr,                     -- address
692
    rden_i     => io_rden,                        -- read enable
693
    wren_i     => io_wren,                        -- byte write enable
694
    data_o     => resp_bus(RESP_BUSKEEPER).rdata, -- data out
695
    ack_o      => resp_bus(RESP_BUSKEEPER).ack,   -- transfer acknowledge
696 68 zero_gravi
    err_o      => bus_error,                      -- transfer error
697 66 zero_gravi
    -- bus monitoring --
698
    bus_addr_i => p_bus.addr,                     -- address
699
    bus_rden_i => p_bus.re,                       -- read enable
700
    bus_wren_i => p_bus.we,                       -- write enable
701
    bus_ack_i  => p_bus.ack,                      -- transfer acknowledge from bus system
702 68 zero_gravi
    bus_err_i  => p_bus.err,                      -- transfer error from bus system
703
    bus_tmo_i  => ext_timeout,                    -- transfer timeout (external interface)
704
    bus_ext_i  => ext_access                      -- external bus access
705 57 zero_gravi
  );
706 36 zero_gravi
 
707 68 zero_gravi
  -- unused, BUSKEEPER **directly** issues error to the CPU --
708
  resp_bus(RESP_BUSKEEPER).err <= '0';
709 57 zero_gravi
 
710 68 zero_gravi
 
711 2 zero_gravi
  -- Processor-Internal Instruction Memory (IMEM) -------------------------------------------
712
  -- -------------------------------------------------------------------------------------------
713
  neorv32_int_imem_inst_true:
714 68 zero_gravi
  if (MEM_INT_IMEM_EN = true) and (MEM_INT_IMEM_SIZE > 0) generate
715 2 zero_gravi
    neorv32_int_imem_inst: neorv32_imem
716
    generic map (
717 61 zero_gravi
      IMEM_BASE    => imem_base_c,          -- memory base address
718
      IMEM_SIZE    => MEM_INT_IMEM_SIZE,    -- processor-internal instruction memory size in bytes
719
      IMEM_AS_IROM => not INT_BOOTLOADER_EN -- implement IMEM as pre-initialized read-only memory?
720 2 zero_gravi
    )
721
    port map (
722 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
723
      rden_i => p_bus.re,                  -- read enable
724
      wren_i => p_bus.we,                  -- write enable
725
      ben_i  => p_bus.ben,                 -- byte write enable
726
      addr_i => p_bus.addr,                -- address
727
      data_i => p_bus.wdata,               -- data in
728
      data_o => resp_bus(RESP_IMEM).rdata, -- data out
729
      ack_o  => resp_bus(RESP_IMEM).ack    -- transfer acknowledge
730 2 zero_gravi
    );
731 60 zero_gravi
    resp_bus(RESP_IMEM).err <= '0'; -- no access error possible
732 2 zero_gravi
  end generate;
733
 
734
  neorv32_int_imem_inst_false:
735 68 zero_gravi
  if (MEM_INT_IMEM_EN = false) or (MEM_INT_IMEM_SIZE = 0) generate
736 60 zero_gravi
    resp_bus(RESP_IMEM) <= resp_bus_entry_terminate_c;
737 2 zero_gravi
  end generate;
738
 
739
 
740
  -- Processor-Internal Data Memory (DMEM) --------------------------------------------------
741
  -- -------------------------------------------------------------------------------------------
742
  neorv32_int_dmem_inst_true:
743 68 zero_gravi
  if (MEM_INT_DMEM_EN = true) and (MEM_INT_DMEM_SIZE > 0) generate
744 2 zero_gravi
    neorv32_int_dmem_inst: neorv32_dmem
745
    generic map (
746 23 zero_gravi
      DMEM_BASE => dmem_base_c,      -- memory base address
747 2 zero_gravi
      DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes
748
    )
749
    port map (
750 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
751
      rden_i => p_bus.re,                  -- read enable
752
      wren_i => p_bus.we,                  -- write enable
753
      ben_i  => p_bus.ben,                 -- byte write enable
754
      addr_i => p_bus.addr,                -- address
755
      data_i => p_bus.wdata,               -- data in
756
      data_o => resp_bus(RESP_DMEM).rdata, -- data out
757
      ack_o  => resp_bus(RESP_DMEM).ack    -- transfer acknowledge
758 2 zero_gravi
    );
759 60 zero_gravi
    resp_bus(RESP_DMEM).err <= '0'; -- no access error possible
760 2 zero_gravi
  end generate;
761
 
762
  neorv32_int_dmem_inst_false:
763 68 zero_gravi
  if (MEM_INT_DMEM_EN = false) or (MEM_INT_DMEM_SIZE = 0) generate
764 60 zero_gravi
    resp_bus(RESP_DMEM) <= resp_bus_entry_terminate_c;
765 2 zero_gravi
  end generate;
766
 
767
 
768
  -- Processor-Internal Bootloader ROM (BOOTROM) --------------------------------------------
769
  -- -------------------------------------------------------------------------------------------
770
  neorv32_boot_rom_inst_true:
771 61 zero_gravi
  if (INT_BOOTLOADER_EN = true) generate
772 2 zero_gravi
    neorv32_boot_rom_inst: neorv32_boot_rom
773 23 zero_gravi
    generic map (
774 61 zero_gravi
      BOOTROM_BASE => boot_rom_base_c -- boot ROM base address
775 23 zero_gravi
    )
776 2 zero_gravi
    port map (
777 60 zero_gravi
      clk_i  => clk_i,                        -- global clock line
778
      rden_i => p_bus.re,                     -- read enable
779
      addr_i => p_bus.addr,                   -- address
780
      data_o => resp_bus(RESP_BOOTROM).rdata, -- data out
781
      ack_o  => resp_bus(RESP_BOOTROM).ack    -- transfer acknowledge
782 2 zero_gravi
    );
783 60 zero_gravi
    resp_bus(RESP_BOOTROM).err <= '0'; -- no access error possible
784 2 zero_gravi
  end generate;
785
 
786
  neorv32_boot_rom_inst_false:
787 61 zero_gravi
  if (INT_BOOTLOADER_EN = false) generate
788 60 zero_gravi
    resp_bus(RESP_BOOTROM) <= resp_bus_entry_terminate_c;
789 2 zero_gravi
  end generate;
790
 
791
 
792
  -- External Wishbone Gateway (WISHBONE) ---------------------------------------------------
793
  -- -------------------------------------------------------------------------------------------
794
  neorv32_wishbone_inst_true:
795 44 zero_gravi
  if (MEM_EXT_EN = true) generate
796 2 zero_gravi
    neorv32_wishbone_inst: neorv32_wishbone
797
    generic map (
798 23 zero_gravi
      -- Internal instruction memory --
799 62 zero_gravi
      MEM_INT_IMEM_EN   => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
800
      MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
801 23 zero_gravi
      -- Internal data memory --
802 62 zero_gravi
      MEM_INT_DMEM_EN   => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
803
      MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
804
      -- Interface Configuration --
805
      BUS_TIMEOUT       => MEM_EXT_TIMEOUT,    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
806
      PIPE_MODE         => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
807
      BIG_ENDIAN        => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
808
      ASYNC_RX          => MEM_EXT_ASYNC_RX    -- use register buffer for RX data when false
809 2 zero_gravi
    )
810
    port map (
811
      -- global control --
812 60 zero_gravi
      clk_i     => clk_i,                         -- global clock line
813
      rstn_i    => sys_rstn,                      -- global reset line, low-active
814 2 zero_gravi
      -- host access --
815 60 zero_gravi
      src_i     => p_bus.src,                     -- access type (0: data, 1:instruction)
816
      addr_i    => p_bus.addr,                    -- address
817
      rden_i    => p_bus.re,                      -- read enable
818
      wren_i    => p_bus.we,                      -- write enable
819
      ben_i     => p_bus.ben,                     -- byte write enable
820
      data_i    => p_bus.wdata,                   -- data in
821
      data_o    => resp_bus(RESP_WISHBONE).rdata, -- data out
822
      lock_i    => p_bus.lock,                    -- exclusive access request
823
      ack_o     => resp_bus(RESP_WISHBONE).ack,   -- transfer acknowledge
824
      err_o     => resp_bus(RESP_WISHBONE).err,   -- transfer error
825 68 zero_gravi
      tmo_o     => ext_timeout,                   -- transfer timeout
826 60 zero_gravi
      priv_i    => p_bus.priv,                    -- current CPU privilege level
827 68 zero_gravi
      ext_o     => ext_access,                    -- active external access
828 2 zero_gravi
      -- wishbone interface --
829 60 zero_gravi
      wb_tag_o  => wb_tag_o,                      -- request tag
830
      wb_adr_o  => wb_adr_o,                      -- address
831
      wb_dat_i  => wb_dat_i,                      -- read data
832
      wb_dat_o  => wb_dat_o,                      -- write data
833
      wb_we_o   => wb_we_o,                       -- read/write
834
      wb_sel_o  => wb_sel_o,                      -- byte enable
835
      wb_stb_o  => wb_stb_o,                      -- strobe
836
      wb_cyc_o  => wb_cyc_o,                      -- valid cycle
837
      wb_lock_o => wb_lock_o,                     -- exclusive access request
838
      wb_ack_i  => wb_ack_i,                      -- transfer acknowledge
839
      wb_err_i  => wb_err_i                       -- transfer error
840 2 zero_gravi
    );
841
  end generate;
842
 
843
  neorv32_wishbone_inst_false:
844 44 zero_gravi
  if (MEM_EXT_EN = false) generate
845 60 zero_gravi
    resp_bus(RESP_WISHBONE) <= resp_bus_entry_terminate_c;
846 68 zero_gravi
    ext_timeout <= '0';
847
    ext_access  <= '0';
848 2 zero_gravi
    --
849 60 zero_gravi
    wb_adr_o  <= (others => '0');
850
    wb_dat_o  <= (others => '0');
851
    wb_we_o   <= '0';
852
    wb_sel_o  <= (others => '0');
853
    wb_stb_o  <= '0';
854
    wb_cyc_o  <= '0';
855
    wb_lock_o <= '0';
856
    wb_tag_o  <= (others => '0');
857 2 zero_gravi
  end generate;
858
 
859
 
860
  -- IO Access? -----------------------------------------------------------------------------
861
  -- -------------------------------------------------------------------------------------------
862 12 zero_gravi
  io_acc  <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0';
863 40 zero_gravi
  io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region
864 47 zero_gravi
  -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity)
865 60 zero_gravi
  io_wren <= io_acc and p_bus.we and and_reduce_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region
866 2 zero_gravi
 
867
 
868 47 zero_gravi
  -- Custom Functions Subsystem (CFS) -------------------------------------------------------
869
  -- -------------------------------------------------------------------------------------------
870
  neorv32_cfs_inst_true:
871
  if (IO_CFS_EN = true) generate
872
    neorv32_cfs_inst: neorv32_cfs
873
    generic map (
874 61 zero_gravi
      CFS_CONFIG   => IO_CFS_CONFIG,  -- custom CFS configuration generic
875 52 zero_gravi
      CFS_IN_SIZE  => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits
876
      CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits
877 47 zero_gravi
    )
878
    port map (
879
      -- host access --
880 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
881
      rstn_i      => sys_rstn,                 -- global reset line, low-active, use as async
882
      addr_i      => p_bus.addr,               -- address
883
      rden_i      => io_rden,                  -- read enable
884
      wren_i      => io_wren,                  -- byte write enable
885
      data_i      => p_bus.wdata,              -- data in
886
      data_o      => resp_bus(RESP_CFS).rdata, -- data out
887
      ack_o       => resp_bus(RESP_CFS).ack,   -- transfer acknowledge
888 68 zero_gravi
      err_o       => resp_bus(RESP_CFS).err,   -- access error
889 47 zero_gravi
      -- clock generator --
890 60 zero_gravi
      clkgen_en_o => cfs_cg_en,                -- enable clock generator
891
      clkgen_i    => clk_gen,                  -- "clock" inputs
892 47 zero_gravi
      -- interrupt --
893 60 zero_gravi
      irq_o       => cfs_irq,                  -- interrupt request
894 47 zero_gravi
      -- custom io (conduit) --
895 60 zero_gravi
      cfs_in_i    => cfs_in_i,                 -- custom inputs
896
      cfs_out_o   => cfs_out_o                 -- custom outputs
897 47 zero_gravi
    );
898
  end generate;
899
 
900
  neorv32_cfs_inst_false:
901
  if (IO_CFS_EN = false) generate
902 60 zero_gravi
    resp_bus(RESP_CFS) <= resp_bus_entry_terminate_c;
903 47 zero_gravi
    cfs_cg_en <= '0';
904
    cfs_irq   <= '0';
905
    cfs_out_o <= (others => '0');
906
  end generate;
907
 
908
 
909 2 zero_gravi
  -- General Purpose Input/Output Port (GPIO) -----------------------------------------------
910
  -- -------------------------------------------------------------------------------------------
911
  neorv32_gpio_inst_true:
912 44 zero_gravi
  if (IO_GPIO_EN = true) generate
913 2 zero_gravi
    neorv32_gpio_inst: neorv32_gpio
914
    port map (
915
      -- host access --
916 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
917
      addr_i => p_bus.addr,                -- address
918
      rden_i => io_rden,                   -- read enable
919
      wren_i => io_wren,                   -- write enable
920
      data_i => p_bus.wdata,               -- data in
921
      data_o => resp_bus(RESP_GPIO).rdata, -- data out
922
      ack_o  => resp_bus(RESP_GPIO).ack,   -- transfer acknowledge
923 2 zero_gravi
      -- parallel io --
924
      gpio_o => gpio_o,
925 61 zero_gravi
      gpio_i => gpio_i
926 2 zero_gravi
    );
927 60 zero_gravi
    resp_bus(RESP_GPIO).err <= '0'; -- no access error possible
928 2 zero_gravi
  end generate;
929
 
930
  neorv32_gpio_inst_false:
931 44 zero_gravi
  if (IO_GPIO_EN = false) generate
932 60 zero_gravi
    resp_bus(RESP_GPIO) <= resp_bus_entry_terminate_c;
933 61 zero_gravi
    gpio_o <= (others => '0');
934 2 zero_gravi
  end generate;
935
 
936
 
937
  -- Watch Dog Timer (WDT) ------------------------------------------------------------------
938
  -- -------------------------------------------------------------------------------------------
939
  neorv32_wdt_inst_true:
940 44 zero_gravi
  if (IO_WDT_EN = true) generate
941 2 zero_gravi
    neorv32_wdt_inst: neorv32_wdt
942 69 zero_gravi
    generic map(
943
      DEBUG_EN => ON_CHIP_DEBUGGER_EN -- CPU debug mode implemented?
944
    )
945 2 zero_gravi
    port map (
946
      -- host access --
947 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
948
      rstn_i      => ext_rstn,                 -- global reset line, low-active
949
      rden_i      => io_rden,                  -- read enable
950
      wren_i      => io_wren,                  -- write enable
951
      addr_i      => p_bus.addr,               -- address
952
      data_i      => p_bus.wdata,              -- data in
953
      data_o      => resp_bus(RESP_WDT).rdata, -- data out
954
      ack_o       => resp_bus(RESP_WDT).ack,   -- transfer acknowledge
955 69 zero_gravi
      -- CPU in debug mode? --
956
      cpu_debug_i => debug_mode,
957 2 zero_gravi
      -- clock generator --
958 60 zero_gravi
      clkgen_en_o => wdt_cg_en,                -- enable clock generator
959 2 zero_gravi
      clkgen_i    => clk_gen,
960
      -- timeout event --
961 60 zero_gravi
      irq_o       => wdt_irq,                  -- timeout IRQ
962
      rstn_o      => wdt_rstn                  -- timeout reset, low_active, use it as async!
963 2 zero_gravi
    );
964 60 zero_gravi
    resp_bus(RESP_WDT).err <= '0'; -- no access error possible
965 2 zero_gravi
  end generate;
966
 
967
  neorv32_wdt_inst_false:
968 44 zero_gravi
  if (IO_WDT_EN = false) generate
969 60 zero_gravi
    resp_bus(RESP_WDT) <= resp_bus_entry_terminate_c;
970 2 zero_gravi
    wdt_irq   <= '0';
971
    wdt_rstn  <= '1';
972
    wdt_cg_en <= '0';
973
  end generate;
974
 
975
 
976
  -- Machine System Timer (MTIME) -----------------------------------------------------------
977
  -- -------------------------------------------------------------------------------------------
978
  neorv32_mtime_inst_true:
979 44 zero_gravi
  if (IO_MTIME_EN = true) generate
980 2 zero_gravi
    neorv32_mtime_inst: neorv32_mtime
981
    port map (
982
      -- host access --
983 60 zero_gravi
      clk_i  => clk_i,                      -- global clock line
984
      addr_i => p_bus.addr,                 -- address
985
      rden_i => io_rden,                    -- read enable
986
      wren_i => io_wren,                    -- write enable
987
      data_i => p_bus.wdata,                -- data in
988
      data_o => resp_bus(RESP_MTIME).rdata, -- data out
989
      ack_o  => resp_bus(RESP_MTIME).ack,   -- transfer acknowledge
990 11 zero_gravi
      -- time output for CPU --
991 60 zero_gravi
      time_o => mtime_time,                 -- current system time
992 2 zero_gravi
      -- interrupt --
993 60 zero_gravi
      irq_o  => mtime_irq                   -- interrupt request
994 2 zero_gravi
    );
995 60 zero_gravi
    resp_bus(RESP_MTIME).err <= '0'; -- no access error possible
996 2 zero_gravi
  end generate;
997
 
998
  neorv32_mtime_inst_false:
999 44 zero_gravi
  if (IO_MTIME_EN = false) generate
1000 60 zero_gravi
    resp_bus(RESP_MTIME) <= resp_bus_entry_terminate_c;
1001
    mtime_time <= mtime_i; -- use external machine timer time signal
1002 64 zero_gravi
    mtime_irq  <= mtime_irq_i; -- use external machine timer interrupt
1003 2 zero_gravi
  end generate;
1004
 
1005
 
1006 60 zero_gravi
  -- system time output LO --
1007
  mtime_sync: process(clk_i)
1008
  begin
1009
    if rising_edge(clk_i) then
1010
      -- buffer low word one clock cycle to compensate for MTIME's 1-cycle delay
1011
      -- when overflowing from low-word to high-word -> only relevant for processor-external devices
1012
      -- processor-internal devices (= the CPU) do not care about this delay offset as 64-bit MTIME.TIME
1013
      -- cannot be accessed within a single cycle
1014
      if (IO_MTIME_EN = true) then
1015
        mtime_o(31 downto 0) <= mtime_time(31 downto 0);
1016
      else
1017
        mtime_o(31 downto 0) <= (others => '0');
1018
      end if;
1019
    end if;
1020
  end process mtime_sync;
1021 59 zero_gravi
 
1022 60 zero_gravi
  -- system time output HI --
1023
  mtime_o(63 downto 32) <= mtime_time(63 downto 32) when (IO_MTIME_EN = true) else (others => '0');
1024
 
1025
 
1026 51 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ----------------------------
1027 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1028 50 zero_gravi
  neorv32_uart0_inst_true:
1029
  if (IO_UART0_EN = true) generate
1030
    neorv32_uart0_inst: neorv32_uart
1031
    generic map (
1032 65 zero_gravi
      UART_PRIMARY => true,             -- true = primary UART (UART0), false = secondary UART (UART1)
1033
      UART_RX_FIFO => IO_UART0_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1
1034
      UART_TX_FIFO => IO_UART0_TX_FIFO  -- TX fifo depth, has to be a power of two, min 1
1035 50 zero_gravi
    )
1036 2 zero_gravi
    port map (
1037
      -- host access --
1038 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1039
      addr_i      => p_bus.addr,                 -- address
1040
      rden_i      => io_rden,                    -- read enable
1041
      wren_i      => io_wren,                    -- write enable
1042
      data_i      => p_bus.wdata,                -- data in
1043
      data_o      => resp_bus(RESP_UART0).rdata, -- data out
1044
      ack_o       => resp_bus(RESP_UART0).ack,   -- transfer acknowledge
1045 2 zero_gravi
      -- clock generator --
1046 60 zero_gravi
      clkgen_en_o => uart0_cg_en,                -- enable clock generator
1047 2 zero_gravi
      clkgen_i    => clk_gen,
1048
      -- com lines --
1049 50 zero_gravi
      uart_txd_o  => uart0_txd_o,
1050
      uart_rxd_i  => uart0_rxd_i,
1051 51 zero_gravi
      -- hardware flow control --
1052 60 zero_gravi
      uart_rts_o  => uart0_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1053
      uart_cts_i  => uart0_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1054 2 zero_gravi
      -- interrupts --
1055 60 zero_gravi
      irq_rxd_o   => uart0_rxd_irq,              -- uart data received interrupt
1056
      irq_txd_o   => uart0_txd_irq               -- uart transmission done interrupt
1057 2 zero_gravi
    );
1058 60 zero_gravi
    resp_bus(RESP_UART0).err <= '0'; -- no access error possible
1059 2 zero_gravi
  end generate;
1060
 
1061 50 zero_gravi
  neorv32_uart0_inst_false:
1062
  if (IO_UART0_EN = false) generate
1063 60 zero_gravi
    resp_bus(RESP_UART0) <= resp_bus_entry_terminate_c;
1064 50 zero_gravi
    uart0_txd_o   <= '0';
1065 51 zero_gravi
    uart0_rts_o   <= '0';
1066 50 zero_gravi
    uart0_cg_en   <= '0';
1067
    uart0_rxd_irq <= '0';
1068
    uart0_txd_irq <= '0';
1069 2 zero_gravi
  end generate;
1070
 
1071
 
1072 51 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --------------------------
1073 50 zero_gravi
  -- -------------------------------------------------------------------------------------------
1074
  neorv32_uart1_inst_true:
1075
  if (IO_UART1_EN = true) generate
1076
    neorv32_uart1_inst: neorv32_uart
1077
    generic map (
1078 65 zero_gravi
      UART_PRIMARY => false,            -- true = primary UART (UART0), false = secondary UART (UART1)
1079
      UART_RX_FIFO => IO_UART1_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1
1080
      UART_TX_FIFO => IO_UART1_TX_FIFO  -- TX fifo depth, has to be a power of two, min 1
1081 50 zero_gravi
    )
1082
    port map (
1083
      -- host access --
1084 60 zero_gravi
      clk_i       => clk_i,                      -- global clock line
1085
      addr_i      => p_bus.addr,                 -- address
1086
      rden_i      => io_rden,                    -- read enable
1087
      wren_i      => io_wren,                    -- write enable
1088
      data_i      => p_bus.wdata,                -- data in
1089
      data_o      => resp_bus(RESP_UART1).rdata, -- data out
1090
      ack_o       => resp_bus(RESP_UART1).ack,   -- transfer acknowledge
1091 50 zero_gravi
      -- clock generator --
1092 60 zero_gravi
      clkgen_en_o => uart1_cg_en,                -- enable clock generator
1093 50 zero_gravi
      clkgen_i    => clk_gen,
1094
      -- com lines --
1095
      uart_txd_o  => uart1_txd_o,
1096
      uart_rxd_i  => uart1_rxd_i,
1097 51 zero_gravi
      -- hardware flow control --
1098 60 zero_gravi
      uart_rts_o  => uart1_rts_o,                -- UART.RX ready to receive ("RTR"), low-active, optional
1099
      uart_cts_i  => uart1_cts_i,                -- UART.TX allowed to transmit, low-active, optional
1100 50 zero_gravi
      -- interrupts --
1101 60 zero_gravi
      irq_rxd_o   => uart1_rxd_irq,              -- uart data received interrupt
1102
      irq_txd_o   => uart1_txd_irq               -- uart transmission done interrupt
1103 50 zero_gravi
    );
1104 60 zero_gravi
    resp_bus(RESP_UART1).err <= '0'; -- no access error possible
1105 50 zero_gravi
  end generate;
1106
 
1107
  neorv32_uart1_inst_false:
1108
  if (IO_UART1_EN = false) generate
1109 60 zero_gravi
    resp_bus(RESP_UART1) <= resp_bus_entry_terminate_c;
1110 50 zero_gravi
    uart1_txd_o   <= '0';
1111 51 zero_gravi
    uart1_rts_o   <= '0';
1112 50 zero_gravi
    uart1_cg_en   <= '0';
1113
    uart1_rxd_irq <= '0';
1114
    uart1_txd_irq <= '0';
1115
  end generate;
1116
 
1117
 
1118 2 zero_gravi
  -- Serial Peripheral Interface (SPI) ------------------------------------------------------
1119
  -- -------------------------------------------------------------------------------------------
1120
  neorv32_spi_inst_true:
1121 44 zero_gravi
  if (IO_SPI_EN = true) generate
1122 2 zero_gravi
    neorv32_spi_inst: neorv32_spi
1123
    port map (
1124
      -- host access --
1125 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1126
      addr_i      => p_bus.addr,               -- address
1127
      rden_i      => io_rden,                  -- read enable
1128
      wren_i      => io_wren,                  -- write enable
1129
      data_i      => p_bus.wdata,              -- data in
1130
      data_o      => resp_bus(RESP_SPI).rdata, -- data out
1131
      ack_o       => resp_bus(RESP_SPI).ack,   -- transfer acknowledge
1132 2 zero_gravi
      -- clock generator --
1133 60 zero_gravi
      clkgen_en_o => spi_cg_en,                -- enable clock generator
1134 2 zero_gravi
      clkgen_i    => clk_gen,
1135
      -- com lines --
1136 60 zero_gravi
      spi_sck_o   => spi_sck_o,                -- SPI serial clock
1137
      spi_sdo_o   => spi_sdo_o,                -- controller data out, peripheral data in
1138
      spi_sdi_i   => spi_sdi_i,                -- controller data in, peripheral data out
1139
      spi_csn_o   => spi_csn_o,                -- SPI CS
1140 2 zero_gravi
      -- interrupt --
1141 60 zero_gravi
      irq_o       => spi_irq                   -- transmission done interrupt
1142 2 zero_gravi
    );
1143 60 zero_gravi
    resp_bus(RESP_SPI).err <= '0'; -- no access error possible
1144 2 zero_gravi
  end generate;
1145
 
1146
  neorv32_spi_inst_false:
1147 44 zero_gravi
  if (IO_SPI_EN = false) generate
1148 60 zero_gravi
    resp_bus(RESP_SPI) <= resp_bus_entry_terminate_c;
1149
    spi_sck_o <= '0';
1150
    spi_sdo_o <= '0';
1151
    spi_csn_o <= (others => '1'); -- CSn lines are low-active
1152
    spi_cg_en <= '0';
1153
    spi_irq   <= '0';
1154 2 zero_gravi
  end generate;
1155
 
1156
 
1157
  -- Two-Wire Interface (TWI) ---------------------------------------------------------------
1158
  -- -------------------------------------------------------------------------------------------
1159
  neorv32_twi_inst_true:
1160 44 zero_gravi
  if (IO_TWI_EN = true) generate
1161 2 zero_gravi
    neorv32_twi_inst: neorv32_twi
1162
    port map (
1163
      -- host access --
1164 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1165
      addr_i      => p_bus.addr,               -- address
1166
      rden_i      => io_rden,                  -- read enable
1167
      wren_i      => io_wren,                  -- write enable
1168
      data_i      => p_bus.wdata,              -- data in
1169
      data_o      => resp_bus(RESP_TWI).rdata, -- data out
1170
      ack_o       => resp_bus(RESP_TWI).ack,   -- transfer acknowledge
1171 2 zero_gravi
      -- clock generator --
1172 60 zero_gravi
      clkgen_en_o => twi_cg_en,                -- enable clock generator
1173 2 zero_gravi
      clkgen_i    => clk_gen,
1174
      -- com lines --
1175 60 zero_gravi
      twi_sda_io  => twi_sda_io,               -- serial data line
1176
      twi_scl_io  => twi_scl_io,               -- serial clock line
1177 2 zero_gravi
      -- interrupt --
1178 60 zero_gravi
      irq_o       => twi_irq                   -- transfer done IRQ
1179 2 zero_gravi
    );
1180 60 zero_gravi
    resp_bus(RESP_TWI).err <= '0'; -- no access error possible
1181 2 zero_gravi
  end generate;
1182
 
1183
  neorv32_twi_inst_false:
1184 44 zero_gravi
  if (IO_TWI_EN = false) generate
1185 60 zero_gravi
    resp_bus(RESP_TWI) <= resp_bus_entry_terminate_c;
1186 65 zero_gravi
    twi_sda_io <= 'Z';
1187
    twi_scl_io <= 'Z';
1188 2 zero_gravi
    twi_cg_en  <= '0';
1189
    twi_irq    <= '0';
1190
  end generate;
1191
 
1192
 
1193
  -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------
1194
  -- -------------------------------------------------------------------------------------------
1195
  neorv32_pwm_inst_true:
1196 60 zero_gravi
  if (IO_PWM_NUM_CH > 0) generate
1197 2 zero_gravi
    neorv32_pwm_inst: neorv32_pwm
1198 60 zero_gravi
    generic map (
1199
      NUM_CHANNELS => IO_PWM_NUM_CH -- number of PWM channels (0..60)
1200
    )
1201 2 zero_gravi
    port map (
1202
      -- host access --
1203 60 zero_gravi
      clk_i       => clk_i,                    -- global clock line
1204
      addr_i      => p_bus.addr,               -- address
1205
      rden_i      => io_rden,                  -- read enable
1206
      wren_i      => io_wren,                  -- write enable
1207
      data_i      => p_bus.wdata,              -- data in
1208
      data_o      => resp_bus(RESP_PWM).rdata, -- data out
1209
      ack_o       => resp_bus(RESP_PWM).ack,   -- transfer acknowledge
1210 2 zero_gravi
      -- clock generator --
1211 60 zero_gravi
      clkgen_en_o => pwm_cg_en,                -- enable clock generator
1212 2 zero_gravi
      clkgen_i    => clk_gen,
1213
      -- pwm output channels --
1214
      pwm_o       => pwm_o
1215
    );
1216 60 zero_gravi
    resp_bus(RESP_PWM).err <= '0'; -- no access error possible
1217 2 zero_gravi
  end generate;
1218
 
1219
  neorv32_pwm_inst_false:
1220 60 zero_gravi
  if (IO_PWM_NUM_CH = 0) generate
1221
    resp_bus(RESP_PWM) <= resp_bus_entry_terminate_c;
1222 2 zero_gravi
    pwm_cg_en <= '0';
1223
    pwm_o     <= (others => '0');
1224
  end generate;
1225
 
1226
 
1227
  -- True Random Number Generator (TRNG) ----------------------------------------------------
1228
  -- -------------------------------------------------------------------------------------------
1229
  neorv32_trng_inst_true:
1230 44 zero_gravi
  if (IO_TRNG_EN = true) generate
1231 2 zero_gravi
    neorv32_trng_inst: neorv32_trng
1232
    port map (
1233
      -- host access --
1234 60 zero_gravi
      clk_i  => clk_i,                     -- global clock line
1235
      addr_i => p_bus.addr,                -- address
1236
      rden_i => io_rden,                   -- read enable
1237
      wren_i => io_wren,                   -- write enable
1238
      data_i => p_bus.wdata,               -- data in
1239
      data_o => resp_bus(RESP_TRNG).rdata, -- data out
1240
      ack_o  => resp_bus(RESP_TRNG).ack    -- transfer acknowledge
1241 2 zero_gravi
    );
1242 60 zero_gravi
    resp_bus(RESP_TRNG).err <= '0'; -- no access error possible
1243 2 zero_gravi
  end generate;
1244
 
1245
  neorv32_trng_inst_false:
1246 44 zero_gravi
  if (IO_TRNG_EN = false) generate
1247 60 zero_gravi
    resp_bus(RESP_TRNG) <= resp_bus_entry_terminate_c;
1248 2 zero_gravi
  end generate;
1249
 
1250
 
1251 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------------------
1252
  -- -------------------------------------------------------------------------------------------
1253
  neorv32_neoled_inst_true:
1254
  if (IO_NEOLED_EN = true) generate
1255
    neorv32_neoled_inst: neorv32_neoled
1256 62 zero_gravi
    generic map (
1257
      FIFO_DEPTH => IO_NEOLED_TX_FIFO -- TX FIFO depth (1..32k, power of two)
1258
    )
1259 52 zero_gravi
    port map (
1260
      -- host access --
1261 60 zero_gravi
      clk_i       => clk_i,                       -- global clock line
1262
      addr_i      => p_bus.addr,                  -- address
1263
      rden_i      => io_rden,                     -- read enable
1264
      wren_i      => io_wren,                     -- write enable
1265
      data_i      => p_bus.wdata,                 -- data in
1266
      data_o      => resp_bus(RESP_NEOLED).rdata, -- data out
1267
      ack_o       => resp_bus(RESP_NEOLED).ack,   -- transfer acknowledge
1268 52 zero_gravi
      -- clock generator --
1269 60 zero_gravi
      clkgen_en_o => neoled_cg_en,                -- enable clock generator
1270 52 zero_gravi
      clkgen_i    => clk_gen,
1271
      -- interrupt --
1272 60 zero_gravi
      irq_o       => neoled_irq,                  -- interrupt request
1273 52 zero_gravi
      -- NEOLED output --
1274 60 zero_gravi
      neoled_o    => neoled_o                     -- serial async data line
1275 52 zero_gravi
    );
1276 60 zero_gravi
    resp_bus(RESP_NEOLED).err <= '0'; -- no access error possible
1277 52 zero_gravi
  end generate;
1278
 
1279
  neorv32_neoled_inst_false:
1280
  if (IO_NEOLED_EN = false) generate
1281 60 zero_gravi
    resp_bus(RESP_NEOLED) <= resp_bus_entry_terminate_c;
1282 52 zero_gravi
    neoled_cg_en <= '0';
1283
    neoled_irq   <= '0';
1284
    neoled_o     <= '0';
1285
  end generate;
1286
 
1287
 
1288 61 zero_gravi
  -- Stream Link Interface (SLINK) ----------------------------------------------------------
1289
  -- -------------------------------------------------------------------------------------------
1290
  neorv32_slink_inst_true:
1291
  if (io_slink_en_c = true) generate
1292
    neorv32_slink_inst: neorv32_slink
1293
    generic map (
1294
      SLINK_NUM_TX  => SLINK_NUM_TX,  -- number of TX links (0..8)
1295
      SLINK_NUM_RX  => SLINK_NUM_RX,  -- number of TX links (0..8)
1296
      SLINK_TX_FIFO => SLINK_TX_FIFO, -- TX fifo depth, has to be a power of two
1297
      SLINK_RX_FIFO => SLINK_RX_FIFO  -- RX fifo depth, has to be a power of two
1298
    )
1299
    port map (
1300
      -- host access --
1301
      clk_i          => clk_i,                      -- global clock line
1302
      addr_i         => p_bus.addr,                 -- address
1303
      rden_i         => io_rden,                    -- read enable
1304
      wren_i         => io_wren,                    -- write enable
1305
      data_i         => p_bus.wdata,                -- data in
1306
      data_o         => resp_bus(RESP_SLINK).rdata, -- data out
1307
      ack_o          => resp_bus(RESP_SLINK).ack,   -- transfer acknowledge
1308
      -- interrupt --
1309
      irq_tx_o       => slink_tx_irq,               -- transmission done
1310
      irq_rx_o       => slink_rx_irq,               -- data received
1311
      -- TX stream interfaces --
1312
      slink_tx_dat_o => slink_tx_dat_o,             -- output data
1313
      slink_tx_val_o => slink_tx_val_o,             -- valid output
1314
      slink_tx_rdy_i => slink_tx_rdy_i,             -- ready to send
1315
      -- RX stream interfaces --
1316
      slink_rx_dat_i => slink_rx_dat_i,             -- input data
1317
      slink_rx_val_i => slink_rx_val_i,             -- valid input
1318
      slink_rx_rdy_o => slink_rx_rdy_o              -- ready to receive
1319
    );
1320
    resp_bus(RESP_SLINK).err <= '0'; -- no access error possible
1321
  end generate;
1322
 
1323
  neorv32_slink_inst_false:
1324
  if (io_slink_en_c = false) generate
1325
    resp_bus(RESP_SLINK) <= resp_bus_entry_terminate_c;
1326
    slink_tx_irq   <= '0';
1327
    slink_rx_irq   <= '0';
1328
    slink_tx_dat_o <= (others => (others => '0'));
1329
    slink_tx_val_o <= (others => '0');
1330
    slink_rx_rdy_o <= (others => '0');
1331
  end generate;
1332
 
1333
 
1334
  -- External Interrupt Controller (XIRQ) ---------------------------------------------------
1335
  -- -------------------------------------------------------------------------------------------
1336
  neorv32_xirq_inst_true:
1337
  if (XIRQ_NUM_CH > 0) generate
1338
    neorv32_slink_inst: neorv32_xirq
1339
    generic map (
1340
      XIRQ_NUM_CH           => XIRQ_NUM_CH,          -- number of external IRQ channels (0..32)
1341
      XIRQ_TRIGGER_TYPE     => XIRQ_TRIGGER_TYPE,    -- trigger type: 0=level, 1=edge
1342
      XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1343
    )
1344
    port map (
1345
      -- host access --
1346
      clk_i     => clk_i,                     -- global clock line
1347
      addr_i    => p_bus.addr,                -- address
1348
      rden_i    => io_rden,                   -- read enable
1349
      wren_i    => io_wren,                   -- write enable
1350
      data_i    => p_bus.wdata,               -- data in
1351
      data_o    => resp_bus(RESP_XIRQ).rdata, -- data out
1352
      ack_o     => resp_bus(RESP_XIRQ).ack,   -- transfer acknowledge
1353
      -- external interrupt lines --
1354
      xirq_i    => xirq_i,
1355
      -- CPU interrupt --
1356
      cpu_irq_o => xirq_irq
1357
    );
1358
    resp_bus(RESP_XIRQ).err <= '0'; -- no access error possible
1359
  end generate;
1360
 
1361
  neorv32_xirq_inst_false:
1362
  if (XIRQ_NUM_CH = 0) generate
1363
    resp_bus(RESP_XIRQ) <= resp_bus_entry_terminate_c;
1364
    xirq_irq <= '0';
1365
  end generate;
1366
 
1367
 
1368 67 zero_gravi
  -- General Purpose Timer (GPTMR) ----------------------------------------------------------
1369
  -- -------------------------------------------------------------------------------------------
1370
  neorv32_gptmr_inst_true:
1371
  if (IO_GPTMR_EN = true) generate
1372
    neorv32_gptmr_inst: neorv32_gptmr
1373
    port map (
1374
      -- host access --
1375
      clk_i     => clk_i,                      -- global clock line
1376
      addr_i    => p_bus.addr,                 -- address
1377
      rden_i    => io_rden,                    -- read enable
1378
      wren_i    => io_wren,                    -- write enable
1379
      data_i    => p_bus.wdata,                -- data in
1380
      data_o    => resp_bus(RESP_GPTMR).rdata, -- data out
1381
      ack_o     => resp_bus(RESP_GPTMR).ack,   -- transfer acknowledge
1382
      -- clock generator --
1383
      clkgen_en_o => gptmr_cg_en,              -- enable clock generator
1384
      clkgen_i    => clk_gen,
1385
      -- interrupt --
1386
      irq_o       => gptmr_irq                 -- transmission done interrupt
1387
    );
1388
    resp_bus(RESP_GPTMR).err <= '0'; -- no access error possible
1389
  end generate;
1390
 
1391
  neorv32_gptmr_inst_false:
1392
  if (IO_GPTMR_EN = false) generate
1393
    resp_bus(RESP_GPTMR) <= resp_bus_entry_terminate_c;
1394
    gptmr_cg_en          <= '0';
1395
    gptmr_irq            <= '0';
1396
  end generate;
1397
 
1398
 
1399 12 zero_gravi
  -- System Configuration Information Memory (SYSINFO) --------------------------------------
1400
  -- -------------------------------------------------------------------------------------------
1401
  neorv32_sysinfo_inst: neorv32_sysinfo
1402
  generic map (
1403
    -- General --
1404 63 zero_gravi
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,      -- clock frequency of clk_i in Hz
1405
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,    -- implement processor-internal bootloader?
1406
    -- RISC-V CPU Extensions --
1407
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
1408
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
1409 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
1410
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
1411 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
1412
    CPU_EXTENSION_RISCV_Zmmul    => CPU_EXTENSION_RISCV_Zmmul,    -- implement multiply-only M sub-extension?
1413
    CPU_EXTENSION_RISCV_DEBUG    => ON_CHIP_DEBUGGER_EN,          -- implement CPU debug mode?
1414
    -- Extension Options --
1415
    FAST_MUL_EN                  => FAST_MUL_EN,          -- use DSPs for M extension's multiplier
1416
    FAST_SHIFT_EN                => FAST_SHIFT_EN,        -- use barrel shifter for shift operations
1417
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,        -- total width of CPU cycle and instret counters (0..64)
1418
    -- Physical memory protection (PMP) --
1419
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,      -- number of regions (0..64)
1420 23 zero_gravi
    -- internal Instruction memory --
1421 63 zero_gravi
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,      -- implement processor-internal instruction memory
1422
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,    -- size of processor-internal instruction memory in bytes
1423 23 zero_gravi
    -- Internal Data memory --
1424 63 zero_gravi
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,      -- implement processor-internal data memory
1425
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,    -- size of processor-internal data memory in bytes
1426 41 zero_gravi
    -- Internal Cache memory --
1427 63 zero_gravi
    ICACHE_EN                    => ICACHE_EN,            -- implement instruction cache
1428
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,    -- i-cache: number of blocks (min 2), has to be a power of 2
1429
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,    -- i-cache: block size in bytes (min 4), has to be a power of 2
1430
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2
1431 23 zero_gravi
    -- External memory interface --
1432 63 zero_gravi
    MEM_EXT_EN                   => MEM_EXT_EN,           -- implement external memory bus interface?
1433
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN,   -- byte order: true=big-endian, false=little-endian
1434 59 zero_gravi
    -- On-Chip Debugger --
1435 63 zero_gravi
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,  -- implement OCD?
1436 12 zero_gravi
    -- Processor peripherals --
1437 63 zero_gravi
    IO_GPIO_EN                   => IO_GPIO_EN,           -- implement general purpose input/output port unit (GPIO)?
1438
    IO_MTIME_EN                  => IO_MTIME_EN,          -- implement machine system timer (MTIME)?
1439
    IO_UART0_EN                  => IO_UART0_EN,          -- implement primary universal asynchronous receiver/transmitter (UART0)?
1440
    IO_UART1_EN                  => IO_UART1_EN,          -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1441
    IO_SPI_EN                    => IO_SPI_EN,            -- implement serial peripheral interface (SPI)?
1442
    IO_TWI_EN                    => IO_TWI_EN,            -- implement two-wire interface (TWI)?
1443
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,        -- number of PWM channels to implement
1444
    IO_WDT_EN                    => IO_WDT_EN,            -- implement watch dog timer (WDT)?
1445
    IO_TRNG_EN                   => IO_TRNG_EN,           -- implement true random number generator (TRNG)?
1446
    IO_CFS_EN                    => IO_CFS_EN,            -- implement custom functions subsystem (CFS)?
1447
    IO_SLINK_EN                  => io_slink_en_c,        -- implement stream link interface?
1448
    IO_NEOLED_EN                 => IO_NEOLED_EN,         -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1449 67 zero_gravi
    IO_XIRQ_NUM_CH               => XIRQ_NUM_CH,          -- number of external interrupt (XIRQ) channels to implement
1450
    IO_GPTMR_EN                  => IO_GPTMR_EN           -- implement general purpose timer (GPTMR)?
1451 12 zero_gravi
  )
1452
  port map (
1453
    -- host access --
1454 60 zero_gravi
    clk_i  => clk_i,                        -- global clock line
1455
    addr_i => p_bus.addr,                   -- address
1456
    rden_i => io_rden,                      -- read enable
1457
    data_o => resp_bus(RESP_SYSINFO).rdata, -- data out
1458
    ack_o  => resp_bus(RESP_SYSINFO).ack    -- transfer acknowledge
1459 12 zero_gravi
  );
1460
 
1461 60 zero_gravi
  resp_bus(RESP_SYSINFO).err <= '0'; -- no access error possible
1462 12 zero_gravi
 
1463 60 zero_gravi
 
1464 59 zero_gravi
  -- **************************************************************************************************************************
1465
  -- On-Chip Debugger Complex
1466
  -- **************************************************************************************************************************
1467
 
1468
 
1469
  -- On-Chip Debugger - Debug Module (DM) ---------------------------------------------------
1470
  -- -------------------------------------------------------------------------------------------
1471
  neorv32_neorv32_debug_dm_true:
1472
  if (ON_CHIP_DEBUGGER_EN = true) generate
1473
    neorv32_debug_dm_inst: neorv32_debug_dm
1474
    port map (
1475
      -- global control --
1476 60 zero_gravi
      clk_i            => clk_i,                    -- global clock line
1477
      rstn_i           => ext_rstn,                 -- external reset, low-active
1478 59 zero_gravi
      -- debug module interface (DMI) --
1479
      dmi_rstn_i       => dmi.rstn,
1480
      dmi_req_valid_i  => dmi.req_valid,
1481
      dmi_req_ready_o  => dmi.req_ready,
1482
      dmi_req_addr_i   => dmi.req_addr,
1483
      dmi_req_op_i     => dmi.req_op,
1484
      dmi_req_data_i   => dmi.req_data,
1485 60 zero_gravi
      dmi_resp_valid_o => dmi.resp_valid,           -- response valid when set
1486
      dmi_resp_ready_i => dmi.resp_ready,           -- ready to receive respond
1487 59 zero_gravi
      dmi_resp_data_o  => dmi.resp_data,
1488 60 zero_gravi
      dmi_resp_err_o   => dmi.resp_err,             -- 0=ok, 1=error
1489 59 zero_gravi
      -- CPU bus access --
1490 60 zero_gravi
      cpu_addr_i       => p_bus.addr,               -- address
1491
      cpu_rden_i       => p_bus.re,                 -- read enable
1492
      cpu_wren_i       => p_bus.we,                 -- write enable
1493
      cpu_data_i       => p_bus.wdata,              -- data in
1494
      cpu_data_o       => resp_bus(RESP_OCD).rdata, -- data out
1495
      cpu_ack_o        => resp_bus(RESP_OCD).ack,   -- transfer acknowledge
1496 59 zero_gravi
      -- CPU control --
1497 60 zero_gravi
      cpu_ndmrstn_o    => dci_ndmrstn,              -- soc reset
1498
      cpu_halt_req_o   => dci_halt_req              -- request hart to halt (enter debug mode)
1499 59 zero_gravi
    );
1500 60 zero_gravi
    resp_bus(RESP_OCD).err <= '0'; -- no access error possible
1501 59 zero_gravi
  end generate;
1502
 
1503
  neorv32_debug_dm_false:
1504
  if (ON_CHIP_DEBUGGER_EN = false) generate
1505
    dmi.req_ready  <= '0';
1506
    dmi.resp_valid <= '0';
1507
    dmi.resp_data  <= (others => '0');
1508
    dmi.resp_err   <= '0';
1509
    --
1510 60 zero_gravi
    resp_bus(RESP_OCD) <= resp_bus_entry_terminate_c;
1511
    dci_ndmrstn  <= '1';
1512
    dci_halt_req <= '0';
1513 59 zero_gravi
  end generate;
1514
 
1515
 
1516
  -- On-Chip Debugger - Debug Transport Module (DTM) ----------------------------------------
1517
  -- -------------------------------------------------------------------------------------------
1518
  neorv32_neorv32_debug_dtm_true:
1519
  if (ON_CHIP_DEBUGGER_EN = true) generate
1520
    neorv32_debug_dtm_inst: neorv32_debug_dtm
1521
    generic map (
1522
      IDCODE_VERSION => jtag_tap_idcode_version_c, -- version
1523
      IDCODE_PARTID  => jtag_tap_idcode_partid_c,  -- part number
1524
      IDCODE_MANID   => jtag_tap_idcode_manid_c    -- manufacturer id
1525
    )
1526
    port map (
1527
      -- global control --
1528
      clk_i            => clk_i,          -- global clock line
1529
      rstn_i           => ext_rstn,       -- external reset, low-active
1530
      -- jtag connection --
1531
      jtag_trst_i      => jtag_trst_i,
1532
      jtag_tck_i       => jtag_tck_i,
1533
      jtag_tdi_i       => jtag_tdi_i,
1534
      jtag_tdo_o       => jtag_tdo_o,
1535
      jtag_tms_i       => jtag_tms_i,
1536
      -- debug module interface (DMI) --
1537
      dmi_rstn_o       => dmi.rstn,
1538
      dmi_req_valid_o  => dmi.req_valid,
1539
      dmi_req_ready_i  => dmi.req_ready,  -- DMI is allowed to make new requests when set
1540
      dmi_req_addr_o   => dmi.req_addr,
1541
      dmi_req_op_o     => dmi.req_op,     -- 0=read, 1=write
1542
      dmi_req_data_o   => dmi.req_data,
1543
      dmi_resp_valid_i => dmi.resp_valid, -- response valid when set
1544
      dmi_resp_ready_o => dmi.resp_ready, -- ready to receive respond
1545
      dmi_resp_data_i  => dmi.resp_data,
1546
      dmi_resp_err_i   => dmi.resp_err    -- 0=ok, 1=error
1547
    );
1548
  end generate;
1549
 
1550
  neorv32_debug_dtm_false:
1551
  if (ON_CHIP_DEBUGGER_EN = false) generate
1552
    jtag_tdo_o <= jtag_tdi_i; -- feed-through
1553
    --
1554
    dmi.rstn       <= '0';
1555
    dmi.req_valid  <= '0';
1556
    dmi.req_addr   <= (others => '0');
1557
    dmi.req_op     <= '0';
1558
    dmi.req_data   <= (others => '0');
1559
    dmi.resp_ready <= '0';
1560
  end generate;
1561
 
1562
 
1563 2 zero_gravi
end neorv32_top_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.